CN106935567B - 互连结构及其形成方法 - Google Patents

互连结构及其形成方法 Download PDF

Info

Publication number
CN106935567B
CN106935567B CN201610916225.0A CN201610916225A CN106935567B CN 106935567 B CN106935567 B CN 106935567B CN 201610916225 A CN201610916225 A CN 201610916225A CN 106935567 B CN106935567 B CN 106935567B
Authority
CN
China
Prior art keywords
dielectric
layer
via openings
laying
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610916225.0A
Other languages
English (en)
Other versions
CN106935567A (zh
Inventor
张哲诚
林志翰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106935567A publication Critical patent/CN106935567A/zh
Application granted granted Critical
Publication of CN106935567B publication Critical patent/CN106935567B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明实施例提供了一种互连结构,包括非绝缘体结构、介电结构、导电结构和第一介电保护层。介电结构,存在于非绝缘体结构上。介电结构具有在其中的沟槽开口和通孔开口。通孔开口在沟槽开口和非绝缘体结构之间。导电结构,存在于沟槽开口和通孔开口中,并电连接至非绝缘体结构。第一介电保护层,存在于导电结构和沟槽开口的至少一个侧壁之间。本发明实施例涉及互连结构及其形成方法。

Description

互连结构及其形成方法
技术领域
本发明实施例涉及互连结构及其形成方法。
背景技术
双镶嵌工艺是用于在半导体器件中形成互连件的技术。随着部件尺寸变得更小,双镶嵌工艺提供了对小几何结构更精确的尺寸控制。因此,双镶嵌工艺适于超大规模集成(ULSI)电路的技术,其中,越来越多的器件被封装到半导体衬底中的相同或更小的区域中。
发明内容
根据本发明的一些实施例,提供了一种互连结构,包括:非绝缘体结构;介电结构,存在于所述非绝缘体结构上,其中,所述介电结构中具有沟槽开口和通孔开口,并且所述通孔开口存在于所述沟槽开口和所述非绝缘体结构之间;导电结构,存在于所述沟槽开口和所述通孔开口中,并电连接至所述非绝缘体结构;以及第一介电保护层,存在于所述导电结构和所述沟槽开口的至少一个侧壁之间。
根据本发明的另一些实施例,还提供了一种互连结构,包括:非绝缘体结构;衬垫层,存在于所述非绝缘体结构上,并具有位于所述衬垫层中的开口;介电结构,存在于所述衬垫层上,所述介电结构中包括通孔开口,所述通孔开口具有至少一个侧壁;介电通孔衬垫,存在于所述介电结构的通孔开口的侧壁上,并且邻近所述衬垫层;以及导电结构,存在于所述通孔开口中,并且通过所述衬垫层的开口电连接至所述非绝缘体结构。
根据本发明的又一些实施例,还提供了一种形成互连结构的方法,包括:在非绝缘体结构上形成第一介电结构;在所述第一介电结构中形成通孔开口;在所述通孔开口中形成伪结构;在所述第一介电结构和所述伪结构上形成蚀刻停止层;在所述蚀刻停止层上形成第二介电层;在所述第二介电结构中形成沟槽开口,以暴露所述蚀刻停止层的一部分;去除所述蚀刻停止层的暴露部分,以至少暴露所述伪结构;去除位于所述通孔开口中的所述伪结构;以及在所述沟槽开口和所述通孔开口中形成导电结构,其中,所述导电结构电连接至所述非绝缘体结构。
附图说明
在阅读附图时,从下列详细描述可以更好地理解本发明的各个方面。应当注意,根据工业中的标准实践,各个部件并非按比例绘制。事实上,为了清楚讨论,各个部件的尺寸可以任意增大或减小。
图1至图14是根据本发明的一些实施例的处于不同阶段中的制造互连结构的方法的截面图。
具体实施方式
下列公开提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面将描述元件和布置的特定实例以简化本发明。当然这些仅仅是实例并不旨在限定本发明。例如,在下面的描述中第一部件在第二部件上方或者在第二部件上的形成可以包括第一部件和第二部件以直接接触方式形成的实施例,也可以包括额外的部件可以形成在第一和第二部件之间,使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各实施例中重复参考标号和/或字符。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
此外,为便于描述,空间相对术语如“在...之下”、“在...下方”、“下部”、“在...之上”、“上部”等在本文可用于描述附图中示出的一个元件或部件与另一个(或另一些)元件或部件的关系。空间相对术语旨在包括除了附图中所示的方位之外,在使用中或操作中的器件的不同方位。装置可以其他方式定向(旋转90度或在其他方位上),本文使用的空间相对描述符可同样地作相应解释。
图1至图14是根据本发明的一些实施例的处于各个阶段中的制造半导体器件的方法的截面图。
参照图1。衬垫层104形成在非绝缘体结构102上。非绝缘体结构102 指的是由一种或多种非绝缘体材料、多晶硅、金属、导电材料、半导体材料或它们的组合形成的结构。非绝缘体结构102可充当诸如鳍式场效晶体管(FinFET)的半导体器件的栅电极、源极/漏极区。
在一些实施例中,当非绝缘体结构102充当FinFET的栅电极时,非绝缘体结构102可通过后栅极工艺形成。示例性后栅极工艺可包括在半导体鳍上形成伪栅极结构,伪栅极结构包括诸如多晶硅的材料;在伪栅极结构旁边形成间隔件,间隔件包括诸如氧化硅、氮化硅、氮氧化硅,碳化硅的材料;去除伪栅极结构以在间隔件之间形成沟槽,以及在间隔件之间的沟槽中形成至少一个金属层,从而形成非绝缘体结构102。金属层可包括适合于形成栅电极或及其部分的金属材料,包括功函数层、衬垫层、界面层、晶种层、粘附层、阻挡层等。在一些实施例中,金属层可包括在p型FinFET 中实施的合适的金属,诸如TiN、WN、TaN或Ru。在一些可选实施例中,金属层可包括在n型FinFET中实施的合适的金属,如Ti,Ag,Al,TiAl、TiAlN、TiAlC、TiAlCN、TaC、TaCN、TaSiN、Mn或Zr。
在一些实施例中,当非绝缘体结构102充当源极/漏极区时,示例性的形成工艺可包括通过使用离子注入法,将n型掺杂剂(例如,磷)或p型掺杂剂(例如,硼)掺杂到未被间隔件和栅电极覆盖的半导体鳍的至少一部分内。形成源极/漏极区的另一个示例性工艺可以包括在邻近间隔件的鳍中形成至少一个源极/漏极凹槽,在源极/漏极凹槽中形成晶种层,在源极/ 漏极凹槽中的晶种层上形成弛豫外延层,在源极/漏极凹槽中的弛豫外延层上形成外延层,使得晶种层、弛豫外延层和外延层形成源极/漏极应力源以充当源极/漏极区。在一些实施例中,例如,源极/漏极应力源包括SiP或 SiCP,其能够引起半导体鳍中的n型沟道的拉伸应变。在一些其他实施例中,源极/漏极应力源包括SiGe,其能够引起半导体鳍中的p型沟道的压缩应变。
在用于形成通孔开口的蚀刻工艺和/或形成沟槽开口的蚀刻工艺期间,衬垫层104可充当蚀刻停止层,其保护非绝缘体结构102。在一些实施例中,衬垫层104可包括介电材料,如碳化硅、氮化硅或碳掺杂的氮化硅。在一些实施例中,衬垫层104可包括导电材料,例如Ti、TiN、TiC、TiCN、 Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC或 TiAlCN。在一些实施例中,衬垫层104可使用化学汽相沉积(CVD)、高密度等离子体(HDP)CVD、亚大气压CVD(SACVD)、分子层沉积(MLD)、溅射、物理汽相沉积(PVD)、镀或其他合适的技术来沉积。例如,在一些实施例中,MLD工艺在小于约10毫托的压力,在约350℃至约500℃的温度范围内实施。在一些实施例中,通过使硅源化合物和氮源反应,在非绝缘体结构102的顶面上沉积氮化硅。硅源化合物为沉积的氮化硅提供硅,且硅源化合物可包括硅烷(SiH4)或正硅酸乙酯(TEOS)。氮源为沉积的氮化硅提供氮,且氮源可包括氨气(NH3)或氮气(N2)。在一些其他实施例中,通过使碳源化合物、硅源化合物和氮源反应,在非绝缘体结构102的顶面上沉积碳掺杂的氮化硅。碳源化合物可包括有机化合物,例如烃化合物,如乙烯(C2H6)。
在衬垫层104和非绝缘体结构102上形成第一介电结构106。第一介电结构106可以是包括介电材料的层间介电(ILD)层。介电材料可以包括正硅酸乙酯(TEOS)、极低k(ELK)介电材料、无氮抗反射涂层(NFARC)、氧化硅、氮化硅、氮氧化硅、磷硅酸盐玻璃(PSG)、硼磷硅玻璃(BPSG)、旋涂玻璃(SOG)、氟化石英玻璃(FSG)、碳掺杂的氧化硅(例如,SiCOH)、干凝胶、气凝胶、无定形氟化碳、聚对二甲苯、BCB(双-苯并环丁烯)、聚酰亚胺、和/或它们的组合。例如,ELK介电材料具有小于约2.5的介电常数。应该理解,第一介电结构106可包括一种或多种介电材料和/或一个或多个介电层。在一些实施例中,例如,可以通过使用CVD、HDP CVD、SACVD、旋涂,溅射或其它合适的技术,在衬垫层104上沉积第一介电结构106。
可在第一介电结构106上形成掩模层108。掩模层108具有开口。掩模层108的开口暴露第一介电结构106的一部分,使得可以对第一介电结构106的暴露部分执行蚀刻工艺,以在随后工艺中形成通孔开口O1。在一些实施例中,掩模层108可是诸如氮化硅(Si3N4)的硬掩模,具有比第一介电结构10更高的蚀刻电阻率。形成硬掩模包括在第一介电结构106上形成硬掩模层,然后图案化以形成有通孔开口O1的图像的硬掩模。在一些其他实施例中,掩模层108可为光刻胶层。形成掩模层108包括在第一介电结构106上形成光刻胶层,然后图案化以形成光刻胶掩模,光刻胶掩模具有掩模层108的开口的图像。
对第一介电结构106的通过掩模层108暴露的部分,执行蚀刻工艺,以在其中形成通孔开口O1。通过衬垫层104,停止形成通孔开口O1的蚀刻工艺,从而在这个步骤中,使通孔开口O1存在于衬垫层104上。换句话说,衬垫层104由通孔开口O1暴露。在一些实施例中,蚀刻工艺可以是干法蚀刻、湿法蚀刻和/或等离子体蚀刻工艺。形成通孔开口O1的蚀刻工艺可采用四氟甲烷(CF4)、三氟甲烷(CHF3)和氧气的混合物作为蚀刻气体。
参照图2。至少在通孔开口O1中形成伪结构110。更具体地,在通孔开口O1中和在掩模层108的顶面上形成伪结构110。在一些实施例中,伪结构110和第一介电结构106具有不同的材料,使得在随后工艺中,伪结构110相对于第一介电结构106可以被选择性蚀刻。更具体地,伪结构110 和第一介电结构106具有不同的蚀刻性能。在一些实施例中,伪结构110可包括光刻胶或底部抗反射涂层(BARC)。更具体地,伪结构110可包括蚀刻选择性与第一介电结构106的蚀刻选择性不同的材料。在此上下文中,“蚀刻选择性”是在单一蚀刻工艺中,蚀刻掉的蚀刻目标材料的量与蚀刻掉的光刻胶的量的比率。例如,第一介电结构106可包括正硅酸乙酯(TEOS)、极低k(ELK)介电材料、无氮抗反射涂层(NFARC)或氧化硅,并且伪结构110可包括不同于第一介电材料106(如氮化硅)的材料,从而使得在随后工艺中,伪结构110相对于第一介电结构106可以被选择性地蚀刻,以保留通孔开口O1。通过诸如CVD工艺的沉积工艺,可以形成伪结构110。
参照图3。掩模层108以及在掩模层108上方的伪结构110的一部分被去除,以暴露第一介电结构106的顶面107。在此去除工艺后,伪结构 110的一部分仍保留在通孔开口O1中。此去除工艺可用通过诸如化学机械抛光(CMP)工艺实施。CMP工艺去除掩模层108以及在掩模层108上方且在通孔开口O1外的伪结构110的一部分。当到达第一介电结构106时, CMP工艺可停止,以提供基本平坦的顶面107。
参照图4。在第一介电结构106的顶面107和伪结构110的顶面111 上,形成蚀刻停止层112。蚀刻停止层112防止第一介电结构106受到在第一介电结构106上形成沟槽开口的随后蚀刻工艺的影响。在一些实施例中,蚀刻停止层112和第一介电结构106具有不同的蚀刻性能,使得在随后工艺中,蚀刻停止层112相对于第一介电结构106可被选择性蚀刻。在一些实施例中,蚀刻停止层112可包括介电材料,如氮氧化硅、碳化硅、碳氮氧化硅,氮化硅或碳掺杂的氮化硅。在一些实施例中,蚀刻停止层112 可用CVD、高密度等离子体(HDP)CVD、亚大气压CVD(SACVD)、分子层沉积(MLD)、溅射、物理汽相沉积(PVD)或其他合适技术来沉积。例如,在一些实施例中,MLD工艺在小于约10毫托的压力,在约350℃至约500℃的温度范围内实施。在一些实施例中,通过使硅源化合物和氮源反应,在第一介电结构106的顶面107和伪结构110的顶面111上沉积氮化硅。硅源化合物为沉积的氮化硅提供硅,且硅源化合物可包括硅烷 (SiH4)或正硅酸乙酯(TEOS)。氮源为沉积的氮化硅提供氮,且氮源可包括氨气(NH3)或氮气(N2)。在一些其他实施例中,通过使碳源化合物、硅源化合物和氮源反应,在第一介电结构106的顶面107和伪结构110的顶面111上,沉积掺杂碳的氮化硅。碳源化合物可包括有机化合物,诸如烃化合物,例如,乙烯(C2H6)。
参照图5。在蚀刻停止层112上形成第二介电结构114。第二介电结构 114和蚀刻停止层112具有不同的蚀刻性能。更详细地,第二介电结构114 可包括具有不同于蚀刻停止层112的蚀刻选择性的材料,从而蚀刻停止层 112可防止下方的伪结构110和第一介电结构106受到在随后工艺中的对第二介电结构114执行的蚀刻工艺的影响。例如,第二介电结构114可包括正硅酸乙酯(TEOS)、极低k(ELK)介电材料、无氮抗反射涂层(NFARC)、氧化硅、氮化硅、氮氧化硅、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、旋涂玻璃(SOG)、氟化石英玻璃(FSG)、碳掺杂的氧化硅(例如,SiCOH)、干凝胶、气凝胶、无定形氟化碳、聚对二甲苯、BCB(双-苯并环丁烯)、聚酰亚胺、和/或它们的组合。例如,ELK介电材料具有小于约2.5的介电常数。应该理解,第二介电结构114可包括一种或多种介电材料和/或一个或多个介电层。在一些实施例中,例如,可以通过使用CVD、HDP CVD、 SACVD、旋涂、溅射或其它合适的技术,在蚀刻停止层112上沉积第二介电结构114。
参照图6。在第二介电层114上方形成掩模层116。掩模层116可包括光刻胶或其他光敏材料。例如,掩模层116可包括深UV光刻胶或其他光刻胶。例如,掩模层116可以通过在第二介电结构114涂覆光刻胶来形成。
参照图7。图案化掩模层116以形成具有暴露第二介电结构114的一部分的开口O2的光刻胶掩模,使得可以对第二介电结构114的暴露部分执行蚀刻工艺,以在随后步骤中形成沟槽开口。在一些其他实施例中,掩模层116可是诸如氮化硅(Si3N4)的硬掩模,具有比第二介电结构114更高的蚀刻电阻率。形成硬掩模包括在第二介电结构114上形成硬掩模层,然后图案化以形成具有开口O2的图像的硬掩模。
参照图8。去除第二介电结构114的未被掩模层116覆盖的部分,以在第二介电结构114中形成沟槽开口O3,并且通过沟槽开口O3暴露蚀刻停止层112的一部分。去除工艺可以是诸如各向异性蚀刻工艺的蚀刻工艺。换句话说,蚀刻穿第二介电结构114,以形成暴露蚀刻停止层112的沟槽开口O3。例如,各向异性蚀刻工艺可以是CF4基或C4F8基干蚀刻工艺。因为蚀刻停止层112和第二介电结构114具有不同的蚀刻性能,所以蚀刻停止层112可防止下方的第一介电结构106受到在形成沟槽开口O3的这个蚀刻工艺的影响,并且因此,蚀刻停止层112可以防止下方的第一介电结构 106被过蚀刻,这有利于形成合适的形状和深度的沟槽开口O3。掩模层116 可防止下方部分的第二介电结构114受到形成沟槽开口O3的蚀刻工艺的影响,从而使得沟槽O3可以形成为具有对应于掩模层116的开口O2的图案。换句话说,掩模层116限定沟槽开口O3的图案。
参照图9。去除由沟槽开口O3暴露的蚀刻停止层112的一部分,以暴露第一介电结构106的顶面107的部分和伪结构110的顶面111。例如,可通过使用干法蚀刻工艺、湿法蚀刻工艺,或它们的组合去除沟槽开口O3 下方的蚀刻停止层112的部分。在一些实施例中,去除工艺是湿法蚀刻工艺,并且由于第一介电结构106和蚀刻停止层112具有不同的蚀刻性能,蚀刻停止层112相对于第一介电结构106可以被选择性蚀刻,从而在蚀刻工艺去除蚀刻停止层112时,可防止过蚀刻第一介电结构106。
参照图10。去除伪结构110,以暴露通孔开口O1。去除工艺可以包括诸如湿法蚀刻工艺的蚀刻工艺。在湿法蚀刻工艺期间,由于伪结构110和第一介电结构106具有不同的蚀刻性能,伪结构110相对于第一介电结构 106可以被选择性蚀刻。因此,通孔开口O1的形状或尺寸不被去除伪结构 110的蚀刻工艺修改。此外,由于伪结构110和第一介电结构106具有不同的蚀刻性能,顶面107可以不被去除伪结构110的蚀刻工艺凹进,从而可以防止第一介电结构106的过蚀刻。此去除工艺之后,通孔开口O1在沟槽开口O3和衬垫层104之间,通孔开口O1的宽度小于沟槽开口O3的宽度。换句话说,第一介电结构106可以充当其中具有通孔开口O1的下部介电层,并且第二介电结构114可以充当其中具有沟槽开口O3的上部介电层。蚀刻停止层112在下部介电层和上部介电层之间。
参照图11。保护层118至少形成在由沟槽开口O3暴露的第一介电结构106的顶面107的一部分上。具体地,保护层118共形地形成在掩模层 116的顶面、第一介电结构106的暴露顶面107、衬垫层104的顶面、通孔开口O1、开口O2和沟槽开口O3的内周表面上。在一些实施例中,保护层118和第一介电结构106由对副产物具有不同的蚀刻性能和不同的粘附能力的不同材料制成,在对衬垫层104执行随后的蚀刻工艺期间产生该副产物。更具体地说,保护层118可包括的材料的蚀刻选择性,不同于第一介电结构106的蚀刻选择性。此外,保护层118和第一介电结构106的材料被确定为,使得保护层118对蚀刻衬垫层104的副产物的粘附能力比第一介电结构106对蚀刻衬垫层104的副产物的粘附能力弱。例如,保护层 118可包括诸如氮化硅(SIN)或氮氧化硅(SiON)的介电材料,并且第一介电结构106可包括不同于保护层118的正硅酸乙酯(TEOS)、极低k(ELK) 介电材料、无氮抗反射涂层(NFARC)或氧化硅。在去除介于通孔开口 O1和非绝缘体结构102之间的衬垫层104的一部分的随后蚀刻工艺中,保护层118的蚀刻选择性比第一介电结构106的蚀刻选择性低,从而相比于第一介电结构106,保护层118更不容易被蚀刻,并且因此,在衬垫蚀刻工艺期间,保护层118可以防止下方的第一介电结构106过蚀刻。此外,由于保护层118对蚀刻衬垫层104的副产物的粘附能力,比第一介电结构 106对蚀刻衬垫层104的副产物的粘附能力弱,形成在通孔开口O1的侧壁 109上的保护层118一部分可以防止蚀刻衬垫层104的副产物粘附于侧壁 109,这样通孔开口O1的尺寸不被粘附于侧壁109的副产物减小。本文中的“对副产物的粘附能力”,是指可粘附至每单位表面面积的副产物的量。例如,“保护层118对副产物的粘附能力”指的是可粘附至保护层118的每单位表面面积的副产物的量。同样地,“第一介电结构106对副产物的粘附能力”指的是可粘附至第一介电结构106的每单位表面面积的副产物的量。
形成保护层118可以通过原子层沉积(ALD)工艺或CVD工艺来执行。例如,保护层118可以是通过ALD形成的氮化硅层。用于形成氮化硅层的 ALD包括多个氮化硅沉积循环。每个氮化硅沉积循环可包括使硅前体接触掩模层116的顶面、第一介电结构106的暴露的顶面107、衬垫层104的顶面以及通孔开口O1、开口O2和沟槽开口O3的内周表面,使得硅前体吸附在这些表面上,并且使氮前体接触这些表面。氮化硅沉积循环可重复多次,以实现氮化硅层的期望厚度和组成。此所得的氮化硅层充当保护层 118。在一些实施例中,ALD工艺可以利于控制保护层118的厚度,从而有利于控制通孔开口O1和/或沟槽开口O3的临界尺寸(CD)。
参照图12。去除位于通孔开口O1下方的衬垫层104的一部分,以形成开口O4,这允许通过通孔开口O1和开口O4暴露非绝缘体结构102。此去除工艺也去除保护层118的一些部分,并且保护层118的一些部分保留在互连结构中。更详细地,保护层118的剩余部分充当第一保护层118a,第一保护层118a存在于沟槽开口O3的至少一个侧壁115上,并且,保护层118的另一剩余部分充当第二保护层118b,第二保护层118b存在于通孔开口O1中的侧壁109上。由于第一和第二保护层118a和118b起源于保护层118,因此,第一和第二保护层118a和118b由基本上相同的材料制成,诸如氮化硅、氮氧化硅或其它合适的介电材料。位于沟槽开口O3的侧壁 115上的第一保护层118a可充当介电沟槽衬垫,并且位于通孔开口O1的侧壁109上的第二保护层118b可充当介电通孔衬垫。在一些实施例中,衬垫层104的一部分保留在第二保护层118b和非绝缘体结构102之间,因为在衬垫蚀刻工艺期间,衬垫层104的该部分被上方的第二保护层118b保护。在这样的结构中,第二保护层118b与非绝缘体结构102是分开的。更具体地,第二保护层118b与非绝缘体结构102通过衬垫层104分开。换言之,衬垫层104的一部分存在于第二保护层118b和非绝缘体结构102之间。换言之,第二保护层118b邻近于衬垫层104。在一些实施例中,第一保护层 118a在第一介电结构106的顶面107上,并覆盖蚀刻停止层112的侧面。
在一些实施例中,可以通过干法蚀刻工艺去除衬垫层104的位于通孔开口O1下方的部分。干法蚀刻工艺可具有高选择性,使得干法蚀刻工艺可在非绝缘体结构102处停止。例如,干法蚀刻工艺可以在约150至220瓦的电源功率,和约10至45毫托的压力下,使用CH2F2和Ar作为蚀刻气体实施。因为在非绝缘体结构102上引入了衬垫层104,因此在形成通孔和沟槽开口O1和O3的蚀刻工艺期间,可以减少不希望的非绝缘体结构102 的蚀刻。更详细地,衬垫层104可利于形成非绝缘体结构102,而不会通过形成通孔和沟槽开口O1和O3的蚀刻工艺引起凹槽,从而增强了器件性能。
由于衬垫蚀刻工艺是在形成保护层118之后执行的,并且保护层118 和第一介电结构106具有不同的蚀刻性能,因此,在衬垫蚀刻工艺期间,保护层118可防止下方部分的第一介电结构106过蚀刻。进一步地,由于衬垫蚀刻工艺是在形成保护层118之后执行的,并且保护层118对蚀刻衬垫层104的副产物的粘附能力比第一介电结构106对蚀刻衬垫层104的副产物的粘附能力弱,因此,在通孔开口O1的侧壁109上形成的保护层118 可以防止蚀刻衬垫层104的副产物粘附于通孔开口O1的侧壁109上,这样,通孔开口O1的尺寸不被粘附于侧壁109的副产物减小。
参照图13。导电结构120至少形成在通孔开口O1和沟槽开口O3中,以穿过衬垫层104的开口O4电连接到非绝缘体结构102。第一保护层118a 存在于导电结构120和沟槽开口O3的侧壁115之间,第二保护层118b存在于导电结构120和通孔开口O1的侧壁109之间。由于蚀刻停止层112 在形成沟槽开口O3期间防止第一介电结构106过蚀刻,并且保护层118 在对衬垫层104执行的蚀刻工艺期间防止第一介电结构106过蚀刻,因此,沟槽开口O3可以不因为过度蚀刻而形成在非绝缘体结构102中,从而使得填充在沟槽开口O3中的导电结构120可以不形成在非绝缘体结构102中,从而可以防止在导电结构120和非绝缘体结构102之间不期望的电连接。另外,由于蚀刻衬垫层104的副产物的粘附力,第二保护层118b防止减少通孔开口O1的尺寸,因此,导电结构120的一部分可以在通孔开口O1中形成为具有合适的尺寸。
在一些实施例中,导电结构120包括TiN、TaN、Ta、Ti、Hf、Zr、 Ni、W、Co、Cu或Al。在一些实施例中,可以通过CVD、PVD、镀、ALD 和/或其他合适的技术形成导电结构120。在一些实施例中,导电结构120 可包括层压板。层压板还可以进一步包括阻挡金属层、衬垫金属层或润湿金属层。此外,导电结构120的厚度取决于通孔开口O1和沟槽开口O3的深度。沉积导电结构120,直到基本充满或过度充满通孔开口O1和沟槽开口O3。
在一些实施例中,当导电结构120过度填充沟槽开口O3时,导电结构 120可以包括下部导电部分122,中间导电部分124和上部导电部分126。中间导电部分124连接下部导电部分122和上部导电部分126。下部导电部分122填充通孔开口O1。更详细地,下部导电部分122存在于通孔开口 O1和衬垫层104的开口O4中,以及存在于第二保护层118b上。由于相比于第一介电结构106,第二保护层118b具有相对较弱的粘附能力,因此下部导电部分122可形成为具有合适的尺寸。中间导电部分124存在于沟槽开口O2中,以及存在于第一保护层118a上。因为蚀刻停止层112和保护层118防止第一介电结构106过蚀刻,中间导电部分124可能不因沟槽开口O3的过蚀刻而形成在非绝缘体结构102中。
在一些实施例中,导电结构120的中间导电部分124和蚀刻停止层112 以非重叠的方式布置在第一介电结构106的顶面107上。详细地说,导电结构120和蚀刻停止层112被分开。更详细地说,存在于顶面107上的第一保护118a分离蚀刻停止层112和导电结构120的中间导电部分124。导电结构120的过填充部分形成覆盖掩模层116的上部导电部分126。
参照图14。在填充通孔开口O1和沟槽开口O3后,执行CMP工艺以平坦化导电结构120。CMP工艺去除在通孔开口O1和沟槽开口和O3外的导电结构120的上部导电部分126,并且当到达第二介电结构114时,CMP 工艺可停止,从而提供基本平坦的表面。CMP工艺去除掩模层116。
在一些实施例中,由于蚀刻停止层防止第一介电结构受到形成沟槽开口O3的蚀刻工艺的影响,并且保护层防止第一介电结构受到去除衬垫层的一部分的蚀刻工艺的影响,因此,第一介电结构可不会被过蚀刻。此外,由于保护层防止蚀刻衬垫层的副产物粘附于通孔开口的侧壁,因此,通孔开口的尺寸可以不被蚀刻衬垫层的副产物减少。
根据本发明的一些实施例,本发明公开了一种互连结构,包括非绝缘体结构、介电结构、导电结构和第一介电保护层。介电结构,在非绝缘体结构上。介电结构具有在其中的沟槽开口和通孔开口。通孔开口在沟槽开口和非绝缘体结构之间。导电结构,在沟槽开口和通孔开口中,并电连接至非绝缘体结构。第一介电保护层,在导电结构和沟槽开口的至少一个侧壁之间。
根据本发明的一些实施例,互连结构包括非绝缘体结构、衬垫层、介电结构、介电通孔衬垫和导电结构。衬垫层,在非绝缘体结构上,并具有在其中的开口。介电层,在衬垫层上。介电结构包括在其中的通孔开口。通孔开口具有至少一个侧壁。介电通孔衬垫,在介电结构的通孔开口的侧壁上,并且邻近衬垫层。导电结构,在通孔开口中,并且通过衬垫层的开口电连接至非绝缘体结构。
根据本发明的一些实施例,形成互连结构的方法包括在非绝缘体结构上形成第一介电结构,在第一介电结构中形成通孔开口,在通孔开口中形成伪结构,在第一介电结构和伪结构上方形成蚀刻停止层,在蚀刻停止层上方形成第二介电层,在第二介电结构中形成沟槽开口以暴露蚀刻停止层的一部分,去除蚀刻停止层的暴露部分以暴露至少伪结构,去除在通孔开口中的伪结构,以及在沟槽开口和通孔开口中形成导电结构。导电结构电连接至非绝缘体结构。
根据本发明的一些实施例,提供了一种互连结构,包括:非绝缘体结构;介电结构,存在于所述非绝缘体结构上,其中,所述介电结构中具有沟槽开口和通孔开口,并且所述通孔开口存在于所述沟槽开口和所述非绝缘体结构之间;导电结构,存在于所述沟槽开口和所述通孔开口中,并电连接至所述非绝缘体结构;以及第一介电保护层,存在于所述导电结构和所述沟槽开口的至少一个侧壁之间。
在上述互连结构中,所述第一介电保护层和所述介电结构具有不同的蚀刻性能。
在上述互连结构中,进一步包括:第二介电保护层,存在于所述导电结构和所述通孔开口的至少一个侧壁之间。
在上述互连结构中,所述第一介电保护层和所述第二介电保护层由相同的材料制成。
在上述互连结构中,所述第二介电保护层与所述非绝缘体结构分离。
在上述互连结构中,进一步包括:衬垫层,存在于所述非绝缘体结构和所述介电结构之间,其中,所述第二介电保护层和所述非绝缘体结构通过所述衬垫层分离。
在上述互连结构中,所述第二介电保护层对蚀刻所述衬垫层的副产物的粘附能力,比所述介电结构的粘附能力弱。
在上述互连结构中,所述第二介电保护层和所述介电结构具有不同的蚀刻性能。
在上述互连结构中,所述介电结构包括:下部介电层,具有位于所述下部介电层中的所述通孔开口;以及上部介电层,存在于所述下部介电层上,并且具有位于所述上部介电层中的所述沟槽开口;以及还包括:蚀刻停止层,存在于所述下部介电层和所述上部介电层之间。
根据本发明的另一些实施例,还提供了一种互连结构,包括:非绝缘体结构;衬垫层,存在于所述非绝缘体结构上,并具有位于所述衬垫层中的开口;介电结构,存在于所述衬垫层上,所述介电结构中包括通孔开口,所述通孔开口具有至少一个侧壁;介电通孔衬垫,存在于所述介电结构的通孔开口的侧壁上,并且邻近所述衬垫层;以及导电结构,存在于所述通孔开口中,并且通过所述衬垫层的开口电连接至所述非绝缘体结构。
在上述互连结构中,所述介电通孔衬垫和所述介电结构具有不同的蚀刻性能。
在上述互连结构中,所述介电通孔衬垫和所述介电结构由具有不同粘附性能的不同的材料制成。
在上述互连结构中,所述介电通孔衬垫对蚀刻所述衬垫层的开口的副产物的粘附能力,比所述介电结构的粘附能力弱。
在上述互连结构中,所述介电结构具有与所述通孔开口连通的至少一个沟槽开口;并且还包括:介电沟槽衬垫,存在于所述介电结构的沟槽开口的至少一个侧壁上。
在上述互连结构中,所述介电沟槽衬垫和所述介电结构具有不同的蚀刻性能。
根据本发明的又一些实施例,还提供了一种形成互连结构的方法,包括:在非绝缘体结构上形成第一介电结构;在所述第一介电结构中形成通孔开口;在所述通孔开口中形成伪结构;在所述第一介电结构和所述伪结构上形成蚀刻停止层;在所述蚀刻停止层上形成第二介电层;在所述第二介电结构中形成沟槽开口,以暴露所述蚀刻停止层的一部分;去除所述蚀刻停止层的暴露部分,以至少暴露所述伪结构;去除位于所述通孔开口中的所述伪结构;以及在所述沟槽开口和所述通孔开口中形成导电结构,其中,所述导电结构电连接至所述非绝缘体结构。
在上述方法中,还包括:通过去除所述蚀刻停止层的暴露部分,在所述第一介电结构的至少暴露部分上,形成保护层。
在上述方法中,还包括:在形成所述第一介电结构之前,在所述非绝缘体结构上形成衬垫层;以及在形成所述保护层之后,去除所述衬垫层的在所述通孔开口和所述非绝缘体结构之间的部分。
在上述方法中,所述保护层和所述第一介电结构具有不同的蚀刻性能。
在上述方法中,所述蚀刻停止层和所述第一介电结构具有不同的蚀刻性能。
上述内容概括了几个实施例的特征使得本领域技术人员可更好地理解本公开的各个方面。本领域技术人员应该理解,他们可以很容易地使用本发明作为基础来设计或更改其他用于达到与本文所介绍实施例相同的目的和/或实现相同优点的工艺和结构。本领域技术人员也应该意识到,这些等效结构并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (19)

1.一种互连结构,包括:
非绝缘体结构;
第一介电层,位于所述非绝缘体结构上方,所述第一介电层中具有通孔开口;
第二介电层,位于所述第一介电层上方,所述第二介电层中具有与所述通孔开口连通的沟槽开口;
蚀刻停止层,位于所述第一介电层和所述第二介电层之间;
导电结构,存在于所述沟槽开口和所述通孔开口中,并电连接至所述非绝缘体结构,所述导电结构与所述第一介电层的顶面直接接触;以及
第一介电保护层,存在于所述导电结构和所述沟槽开口的至少一个侧壁之间。
2.根据权利要求1所述的互连结构,其中,所述第一介电保护层和所述第二介电层具有不同的蚀刻性能。
3.根据权利要求1所述的互连结构,进一步包括:
第二介电保护层,存在于所述导电结构和所述通孔开口的至少一个侧壁之间。
4.根据权利要求3所述的互连结构,其中,所述第一介电保护层和所述第二介电保护层由相同的材料制成。
5.根据权利要求3所述的互连结构,其中,所述第二介电保护层与所述非绝缘体结构分离。
6.根据权利要求3所述的互连结构,进一步包括:
衬垫层,存在于所述非绝缘体结构和所述第一介电层之间,其中,所述第二介电保护层和所述非绝缘体结构通过所述衬垫层分离。
7.根据权利要求6所述的互连结构,其中,所述第二介电保护层对蚀刻所述衬垫层的副产物的粘附能力,比所述第一介电层的粘附能力弱。
8.根据权利要求3所述的互连结构,其中,所述第二介电保护层和所述第一介电层具有不同的蚀刻性能。
9.一种互连结构,包括:
非绝缘体结构;
衬垫层,存在于所述非绝缘体结构上,并具有位于所述衬垫层中的开口;
第一介电层,存在于所述衬垫层上,所述第一介电层中包括通孔开口,所述通孔开口具有至少一个侧壁;
蚀刻停止层,位于所述第一介电层的顶面上;
介电通孔衬垫,存在于所述第一介电层的通孔开口的侧壁上,并且邻近所述衬垫层,所述介电通孔衬垫与所述蚀刻停止层物理分隔开;以及
导电结构,存在于所述通孔开口中且与所述第一介电层的顶面直接接触,并且通过所述衬垫层的开口电连接至所述非绝缘体结构。
10.根据权利要求9所述的互连结构,其中,所述介电通孔衬垫和所述第一介电层具有不同的蚀刻性能。
11.根据权利要求9所述的互连结构,其中,所述介电通孔衬垫和所述第一介电层由具有不同粘附性能的不同的材料制成。
12.根据权利要求9所述的互连结构,其中,所述介电通孔衬垫对蚀刻所述衬垫层的开口的副产物的粘附能力,比所述第一介电层的粘附能力弱。
13.根据权利要求9所述的互连结构,还包括第二介电层,其中,所述第二介电层具有与所述通孔开口连通的至少一个沟槽开口;并且
还包括:介电沟槽衬垫,存在于所述第二介电层的沟槽开口的至少一个侧壁上。
14.根据权利要求13所述的互连结构,其中,所述介电沟槽衬垫和所述第二介电层具有不同的蚀刻性能。
15.一种形成互连结构的方法,包括:
在非绝缘体结构上形成第一介电结构;
在所述第一介电结构中形成通孔开口;
在所述通孔开口中形成伪结构;
在所述第一介电结构和所述伪结构上形成蚀刻停止层;
在所述蚀刻停止层上形成第二介电结构;
在所述第二介电结构中形成沟槽开口,以暴露所述蚀刻停止层的一部分;
去除所述蚀刻停止层的暴露部分,以至少暴露所述伪结构;
去除位于所述通孔开口中的所述伪结构;以及
在所述沟槽开口和所述通孔开口中形成导电结构,其中,所述导电结构电连接至所述非绝缘体结构。
16.根据权利要求15所述的方法,还包括:
通过去除所述蚀刻停止层的暴露部分,在所述第一介电结构的至少暴露部分上,形成保护层。
17.根据权利要求16所述的方法,还包括:
在形成所述第一介电结构之前,在所述非绝缘体结构上形成衬垫层;以及
在形成所述保护层之后,去除所述衬垫层的在所述通孔开口和所述非绝缘体结构之间的部分。
18.根据权利要求16所述的方法,其中,所述保护层和所述第一介电结构具有不同的蚀刻性能。
19.根据权利要求15所述的方法,其中,所述蚀刻停止层和所述第一介电结构具有不同的蚀刻性能。
CN201610916225.0A 2015-12-30 2016-10-21 互连结构及其形成方法 Active CN106935567B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/985,157 US9859156B2 (en) 2015-12-30 2015-12-30 Interconnection structure with sidewall dielectric protection layer
US14/985,157 2015-12-30

Publications (2)

Publication Number Publication Date
CN106935567A CN106935567A (zh) 2017-07-07
CN106935567B true CN106935567B (zh) 2019-12-03

Family

ID=59226676

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610916225.0A Active CN106935567B (zh) 2015-12-30 2016-10-21 互连结构及其形成方法

Country Status (3)

Country Link
US (3) US9859156B2 (zh)
CN (1) CN106935567B (zh)
TW (1) TWI611510B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
WO2019094228A1 (en) * 2017-11-07 2019-05-16 Everspin Technologies, Inc. Angled surface removal process and structure relating thereto
US10361120B2 (en) * 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
DE102018102448B4 (de) 2017-11-30 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung und Struktur leitfähiger Merkmale
US11121027B2 (en) * 2017-12-08 2021-09-14 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
US10658233B2 (en) 2018-10-17 2020-05-19 International Business Machines Corporation Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom
US11177170B2 (en) * 2020-01-16 2021-11-16 International Business Machines Corporation Removal of barrier and liner layers from a bottom of a via
US20220102138A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure for Semiconductor Devices
US20220336269A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Homogeneous source/drain contact structure
CN114758987A (zh) * 2022-06-15 2022-07-15 浙江创芯集成电路有限公司 互连结构的形成方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6660630B1 (en) * 2002-10-10 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd. Method for forming a tapered dual damascene via portion with improved performance
US6689684B1 (en) * 2001-02-15 2004-02-10 Advanced Micro Devices, Inc. Cu damascene interconnections using barrier/capping layer
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
KR20040063299A (ko) * 2003-01-06 2004-07-14 삼성전자주식회사 이중 다마신 공정을 사용하여 비아 콘택 구조체를형성하는 방법
US6987321B2 (en) * 2000-08-10 2006-01-17 Chartered Semiconductor Manufacturing Ltd. Copper diffusion deterrent interface
US7402514B2 (en) * 2003-01-24 2008-07-22 Texas Instruments Incorporated Line-to-line reliability enhancement using a dielectric liner for a low dielectric constant interlevel and intralevel (or intermetal and intrametal) dielectric layer

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US455043A (en) * 1891-06-30 Ninger
US6300794B1 (en) * 1996-10-10 2001-10-09 Altera Corporation Programmable logic device with hierarchical interconnection resources
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
CA2325564A1 (en) * 1998-03-27 1999-10-07 Cytos Biotechnology Ag Inducible alphaviral gene expression system
US6042999A (en) * 1998-05-07 2000-03-28 Taiwan Semiconductor Manufacturing Company Robust dual damascene process
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
TW389991B (en) * 1998-09-04 2000-05-11 United Microelectronics Corp Method for producing copper interconnect
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6319815B1 (en) * 1998-10-21 2001-11-20 Tokyo Ohka Kogyo Co., Ltd. Electric wiring forming method with use of embedding material
JP2000150644A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 半導体デバイスの製造方法
JP2000174123A (ja) * 1998-12-09 2000-06-23 Nec Corp 半導体装置及びその製造方法
TW413896B (en) * 1999-01-06 2000-12-01 United Microelectronics Corp Manufacturing method for dual damascene structure
KR20000071346A (ko) * 1999-02-15 2000-11-25 가네꼬 히사시 듀얼다마신공정을 사용한 반도체장치 제조방법
US6211069B1 (en) * 1999-05-17 2001-04-03 Taiwan Semiconductor Manufacturing Company Dual damascene process flow for a deep sub-micron technology
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
FR2798512B1 (fr) * 1999-09-14 2001-10-19 Commissariat Energie Atomique Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre
KR100346830B1 (ko) * 1999-09-29 2002-08-03 삼성전자 주식회사 반도체장치의 전기적 연결 배선 제조방법
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP2001332621A (ja) * 2000-03-13 2001-11-30 Toshiba Corp 半導体装置及びその製造方法
US6812131B1 (en) * 2000-04-11 2004-11-02 Honeywell International Inc. Use of sacrificial inorganic dielectrics for dual damascene processes utilizing organic intermetal dielectrics
US6319821B1 (en) * 2000-04-24 2001-11-20 Taiwan Semiconductor Manufacturing Company Dual damascene approach for small geometry dimension
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
JP2001358216A (ja) * 2000-06-16 2001-12-26 Mitsubishi Electric Corp 半導体装置の製造方法、半導体装置の製造方法に使用する埋め込み材料および半導体装置
KR100403454B1 (ko) * 2000-06-20 2003-11-01 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
US6365508B1 (en) * 2000-07-18 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Process without post-etch cleaning-converting polymer and by-products into an inert layer
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
JP3574383B2 (ja) * 2000-07-31 2004-10-06 富士通株式会社 半導体装置及びその製造方法
AU2001278749A1 (en) * 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US6472306B1 (en) * 2000-09-05 2002-10-29 Industrial Technology Research Institute Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer
JP2004509467A (ja) * 2000-09-18 2004-03-25 エーシーエム リサーチ,インコーポレイティド 超低誘電率誘電体と金属の組み合わせ
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
TW483104B (en) * 2001-01-10 2002-04-11 Macronix Int Co Ltd Dual damascene manufacturing method using photoresist top surface image method to improve trench first
US6576982B1 (en) * 2001-02-06 2003-06-10 Advanced Micro Devices, Inc. Use of sion for preventing copper contamination of dielectric layer
US6372631B1 (en) * 2001-02-07 2002-04-16 Advanced Micro Devices, Inc. Method of making a via filled dual damascene structure without middle stop layer
US6521524B1 (en) * 2001-02-07 2003-02-18 Advanced Micro Devices, Inc. Via filled dual damascene structure with middle stop layer and method for making the same
US6624066B2 (en) * 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US6518166B1 (en) * 2001-04-23 2003-02-11 Taiwan Semiconductor Manufacturing Company Liquid phase deposition of a silicon oxide layer for use as a liner on the surface of a dual damascene opening in a low dielectric constant layer
JP2002329781A (ja) * 2001-04-27 2002-11-15 Tokyo Ohka Kogyo Co Ltd 微細ホールの埋込方法
KR100416596B1 (ko) * 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6448185B1 (en) * 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) * 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6794293B2 (en) * 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US6734097B2 (en) * 2001-09-28 2004-05-11 Infineon Technologies Ag Liner with poor step coverage to improve contact resistance in W contacts
JP2003124309A (ja) * 2001-10-09 2003-04-25 Macronix Internatl Co Ltd 銅デュアルダマシンプロセスにおけるビア及びトレンチの製造方法
JP3810309B2 (ja) * 2001-12-03 2006-08-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US6664181B2 (en) * 2001-12-07 2003-12-16 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6737747B2 (en) 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US20030139034A1 (en) * 2002-01-22 2003-07-24 Yu-Shen Yuang Dual damascene structure and method of making same
US6488509B1 (en) * 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
KR100442089B1 (ko) * 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
US6855629B2 (en) * 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
US7183195B2 (en) * 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
JP2003282698A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
US7022619B2 (en) * 2002-03-27 2006-04-04 Matsushita Electric Industrial Co., Ltd. Method for fabricating electronic device
JP2003309172A (ja) * 2002-04-17 2003-10-31 Nec Electronics Corp デュアルダマシンプロセスにおけるパターン形成方法
US6783995B2 (en) * 2002-04-30 2004-08-31 Micron Technology, Inc. Protective layers for MRAM devices
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6852619B2 (en) * 2002-05-31 2005-02-08 Sharp Kabushiki Kaisha Dual damascene semiconductor devices
US7253112B2 (en) * 2002-06-04 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
KR100475931B1 (ko) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 다층 배선 형성방법
JP2004079901A (ja) * 2002-08-21 2004-03-11 Nec Electronics Corp 半導体装置及びその製造方法
KR100462884B1 (ko) * 2002-08-21 2004-12-17 삼성전자주식회사 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US6569777B1 (en) * 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile
US7109119B2 (en) * 2002-10-31 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6884728B2 (en) * 2002-11-06 2005-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing polymeric residue contamination on semiconductor feature sidewalls
US6720256B1 (en) * 2002-12-04 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of dual damascene patterning
JP2004221439A (ja) * 2003-01-17 2004-08-05 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
US20040158934A1 (en) * 2003-02-14 2004-08-19 Sears Jeffrey L. Apparatus for washing machine including a drain-back groove
JP4050631B2 (ja) * 2003-02-21 2008-02-20 株式会社ルネサステクノロジ 電子デバイスの製造方法
KR20040077307A (ko) * 2003-02-28 2004-09-04 삼성전자주식회사 다마신 금속 배선 형성방법
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
KR100546099B1 (ko) * 2003-05-30 2006-01-24 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법
US20040251548A1 (en) * 2003-06-16 2004-12-16 United Microelectronics Corp. Method for forming barrier layer and structure
US6867073B1 (en) * 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US6849549B1 (en) * 2003-12-04 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming dummy structures for improved CMP and reduced capacitance
US7344992B2 (en) * 2003-12-31 2008-03-18 Dongbu Electronics Co., Ltd. Method for forming via hole and trench for dual damascene interconnection
KR100571417B1 (ko) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선 및 그 제조 방법
KR100621541B1 (ko) * 2004-02-06 2006-09-14 삼성전자주식회사 듀얼다마신 배선 형성방법 및 듀얼다마신 공정에서 보호막제거용 식각액
US7071100B2 (en) * 2004-02-27 2006-07-04 Kei-Wei Chen Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
US7241682B2 (en) * 2004-02-27 2007-07-10 Taiwan Seminconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
KR100593446B1 (ko) * 2004-05-19 2006-06-28 삼성전자주식회사 유기성 플루오라이드 계열 완충 용액을 사용해서 반도체장치를 제조하는 방법들
US7169701B2 (en) * 2004-06-30 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene trench formation to avoid low-K dielectric damage
US7192863B2 (en) * 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7560375B2 (en) * 2004-09-30 2009-07-14 International Business Machines Corporation Gas dielectric structure forming methods
JP2006128542A (ja) * 2004-11-01 2006-05-18 Nec Electronics Corp 電子デバイスの製造方法
JP2006128543A (ja) * 2004-11-01 2006-05-18 Nec Electronics Corp 電子デバイスの製造方法
JP4492949B2 (ja) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 電子デバイスの製造方法
KR100690881B1 (ko) * 2005-02-05 2007-03-09 삼성전자주식회사 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
US7545045B2 (en) * 2005-03-24 2009-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy via for reducing proximity effect and method of using the same
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
JP4197694B2 (ja) * 2005-08-10 2008-12-17 株式会社東芝 半導体装置およびその製造方法
US20070082477A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Integrated circuit fabricating techniques employing sacrificial liners
US20070105362A1 (en) * 2005-11-09 2007-05-10 Kim Jae H Methods of forming contact structures in low-k materials using dual damascene processes
JP4533304B2 (ja) * 2005-11-29 2010-09-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US7828987B2 (en) * 2006-03-20 2010-11-09 Applied Materials, Inc. Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
CN101330039B (zh) * 2007-06-18 2010-06-09 中芯国际集成电路制造(上海)有限公司 利用通孔塞消除负载效应的方法
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
DE102010002451B4 (de) * 2010-02-26 2012-01-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
US9666520B2 (en) * 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
US9711379B2 (en) * 2014-04-30 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. 3D stacked-chip package
US9449837B2 (en) * 2014-05-09 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. 3D chip-on-wafer-on-substrate structure with via last process
US10332790B2 (en) * 2015-06-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with interconnect structure
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US9659813B1 (en) * 2016-02-05 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection and manufacturing method thereof

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6987321B2 (en) * 2000-08-10 2006-01-17 Chartered Semiconductor Manufacturing Ltd. Copper diffusion deterrent interface
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
US6689684B1 (en) * 2001-02-15 2004-02-10 Advanced Micro Devices, Inc. Cu damascene interconnections using barrier/capping layer
US6660630B1 (en) * 2002-10-10 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd. Method for forming a tapered dual damascene via portion with improved performance
KR20040063299A (ko) * 2003-01-06 2004-07-14 삼성전자주식회사 이중 다마신 공정을 사용하여 비아 콘택 구조체를형성하는 방법
US7402514B2 (en) * 2003-01-24 2008-07-22 Texas Instruments Incorporated Line-to-line reliability enhancement using a dielectric liner for a low dielectric constant interlevel and intralevel (or intermetal and intrametal) dielectric layer

Also Published As

Publication number Publication date
US20170194247A1 (en) 2017-07-06
CN106935567A (zh) 2017-07-07
US20210351065A1 (en) 2021-11-11
TW201735251A (zh) 2017-10-01
TWI611510B (zh) 2018-01-11
US11075112B2 (en) 2021-07-27
US9859156B2 (en) 2018-01-02
US20180138077A1 (en) 2018-05-17

Similar Documents

Publication Publication Date Title
CN106935567B (zh) 互连结构及其形成方法
KR101848137B1 (ko) 상호접속 구조물과 그 형성 방법
US10867910B2 (en) Semiconductor device with damascene structure
US11948835B2 (en) Interconnection structure with anti-adhesion layer
CN107046001A (zh) 半导体器件及其形成方法
TW201926436A (zh) 製造半導體裝置的方法及其結構
US20210202254A1 (en) Device of dielectric layer
TWI828622B (zh) 鰭式場效電晶體裝置結構及其形成方法
CN105742233B (zh) 用于形成具有部件开口的半导体器件的方法
TWI740072B (zh) 形成半導體結構的方法
US10079205B2 (en) Interconnection structure and method of forming the same
TWI579969B (zh) 半導體裝置結構及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant