CN1419277A - 以金属硬遮罩层制作双镶嵌插销的方法 - Google Patents

以金属硬遮罩层制作双镶嵌插销的方法 Download PDF

Info

Publication number
CN1419277A
CN1419277A CN02126847A CN02126847A CN1419277A CN 1419277 A CN1419277 A CN 1419277A CN 02126847 A CN02126847 A CN 02126847A CN 02126847 A CN02126847 A CN 02126847A CN 1419277 A CN1419277 A CN 1419277A
Authority
CN
China
Prior art keywords
layer
trench
metal level
dielectric
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN02126847A
Other languages
English (en)
Other versions
CN1191623C (zh
Inventor
蔡腾群
许嘉麟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Publication of CN1419277A publication Critical patent/CN1419277A/zh
Application granted granted Critical
Publication of CN1191623C publication Critical patent/CN1191623C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

本发明有关一种制作双镶嵌(copper dual damascene)插销(via)的方法,特别是有关一种以金属硬遮罩层(metal hard mask layer)制作双镶嵌插销的方法。本发明利用一金属层作为硬遮罩层,以使插销形成之后,隔离层(isolation layer)的表面可维持一平面而非一圆滑凸面,以防止插销相互连结而产生漏电流的缺陷。

Description

以金属硬遮罩层制作双镶嵌插销的方法
(1)技术领域
本发明是为一种制作铜双镶嵌插销的方法,特别是有关一种以金属硬遮罩层制作双镶嵌插销的方法。
(2)背景技术
在半导体晶片上所大量制造的元件,目前是采用在底材上制作复合的水平传导层来制造。此传导层主要为金属层。使用此复合金属化层的目的,是为了要在元件尺寸缩小到一微米(micron)以下的设计法则时,能提供较高的集成度。同样,相互连接的结构的尺寸也同样需要缩小,以缩小半导体元件的体积。因此,当集成电路的技术发展到0.25微米以下时,需要更先进的相互连接技术及更先进的材质,以顺利增加晶片上元件的集成度。
一种双镶嵌整合的方案为一种建构的技术,其中,一种双镶嵌的结构已被使用。此双镶嵌制程藉由减少制程所需的步骤以形成插销或是渠沟来当作一特定的金属化平面,以使制程较为单纯化。首先,同时形成金属化平面的线路和其下方连接较下层的金属化平面线路的插销。此步骤在蚀刻技术上提供了一项优点,并允许对于改进的关键尺寸进行控制。随后此插销及渠沟可利用同一金属充填的步骤进行填充,因此可减少所需的制程步骤。由于使用此简单的双镶嵌制程,因此较新的材料可被适当地用来取代现有铝(aluminum)/二氧化硅(silicon dioxide)组合的功能。
参照图1所示,此为利用传统的方法形成双镶嵌插销形状的示意图。利用传统的方法制作双镶嵌插销时,首先必须提供一晶片且此晶片至少包括一第一金属层10、一覆盖层(cap layer)20、一第一低介电常数介电层30、一中间蚀刻停止层(middle etching stop layer)40、一第二低介电常数介电层50、一第一硬遮罩层60与一第二硬遮罩层70。第二步骤为在第二硬遮罩层70上决定隔离层的位置后,经过一微影及蚀刻的制程移除部分的第二硬遮罩层70以在隔离层上形成一第二硬遮罩层70。第三步骤为经过一微影及蚀刻的制程移除部分的第二低介电常数介电层50及部分的中间蚀刻停止层40以在第二低介电常数介电层50及中间蚀刻停止层内形成一第一渠沟。第四步骤为经过一微影及蚀刻的制程移除部分的第一低介电常数介电层30、部分的中间蚀刻停止层40及部分的覆盖层20以在第一低介电常数介电层30与覆盖层20内形成第二渠沟。第一渠沟与第二渠沟的组合即为双镶嵌插销的形状。而双镶嵌插销彼此之间的隔离层则由中间蚀刻停止层40、一第二低介电常数介电层50、一第一硬遮罩层60与一第二硬遮罩层70所组成。
参照图2所示,当在晶片上形成双镶嵌插销的形状后,即在双镶嵌插销内填入一第二金属层80,并填满双镶嵌插销。接下来经过一化学机械研磨(chemicalmechanical polishing;CMP)的制程,使得晶片的表面变为一平坦的平面并结束双镶嵌插销的制程。
在传统双镶嵌插销的制程中,为了要防止第一低介电常数介电层30及第二低介电常数介电层50容易在化学机械研磨时受到应力的影响而产生变形,或是直接与研磨液接触而改变第一低介电常数介电层30及第二低介电常数介电层50的材料特性,因此在第二低介电常数介电层上通常还必须形成一至三层不等的介电层,用以排除在化学机械研磨时,应力对第一低介电常数介电层30及第二低介电常数介电层50的影响,并避免第一低介电常数介电层30及第二低介电常数介电层50直接与研磨液接触而改变材料性质。此一至三层不等的介电层即为第一硬遮罩层60与第二硬遮罩层70。但是在实际应用上,常常会因为第一硬遮罩层60、第二硬遮罩层70、第一低介电常数介电层30与第二低介电常数介电层50彼此之间的蚀刻选择比不够,因此在结束第二步骤至第四步骤的蚀刻过程后,第一硬遮罩层60与第二硬遮罩层70往往会产生圆弧状(rounding)的轮廓(profile),此圆弧状的轮廓将会导致双镶嵌插销彼此之间的距离变小,而导致后续化学机械研磨制程的制程宽度不足。此圆弧状的轮廓更容易使双镶嵌插销发生桥接(bridging)的现象导致漏电流的缺陷发生。此圆弧状的轮廓将更会降低半导体元件的品质,并增加生产所需的成本。
(3)发明内容
鉴于利用传统的方法容易在隔离层的表面上发生圆弧的轮廓而导致后续制程的制程宽度不足,并导致双镶嵌插销彼此之间易发生桥接的现象而导致漏电流的缺陷,本发明的目的是提供一种制作双镶嵌插销的方法,利用金属层作为一硬遮罩层,使双镶嵌插销之间的隔离层的表面为一平面,以避免双镶嵌插销彼此之间发生桥接的现象、增加后续制程的制程宽度,从而增加半导体元件的品质并降低生产所需的成本。
根据本发明一方面提供制作一双镶嵌插销的方法,其特点是,该方法至少包括:提供一晶片,该晶片包括一第一金属层;形成一覆盖层于该第一金属层上;形成一第一低介电常数介电层于该覆盖层上;形成一中间蚀刻停止层于该第一低介电常数介电层上;形成一第二低介电常数介电层于该中间蚀刻停止层上;形成一介电硬遮罩层于该第二低介电常数介电层上;形成一第二金属层于该介电硬遮罩层上;移除部分的该第二金属层以在部分的该介电硬遮罩层上形成一金属硬遮罩层;形成一光罩层于该第二金属层与部分的该介电硬遮罩层上;移除部分的该介电硬遮罩层与部分的该第二低介电常数介电层以在该介电硬遮罩层与该第二低介电常数介电层内形成一第一渠沟;移除该光罩层;移除部分的该介电硬遮罩层与该第一渠沟的一底部的该中间蚀刻停止层;移除部分的该第二低介电常数介电层以在该第二低介电常数介电层内形成一第二渠沟并移除部分的该第一低介电常数介电层以在该第一低介电常数介电层内形成一第三渠沟,其中该第二渠沟与该第三渠沟相互连接;移除该第三渠沟的一底部的该覆盖层,并移除该第二渠沟的部分的一底部的该中间蚀刻停止层;形成一第三金属层于该第二金属层上与该第二渠沟及该第三渠沟内,并填满该第二渠沟与该第三渠沟;及移除部分的该第三金属层以露出该第二金属层,并使该第三金属层与该第二金属层的一平面为一平坦的平面。
根据本发明另一方面提供一种制作一双镶嵌插销的方法,其特点是,该方法至少包括:提供一晶片,该晶片包括一第一金属层;形成一覆盖层于该第一金属层上;形成一第一低介电常数介电层于该覆盖层上;形成一中间蚀刻停止层于该第一低介电常数介电层上;形成一第二低介电常数介电层于该中间蚀刻停止层上;形成一介电硬遮罩层于该第二低介电常数介电层上;形成一第二金属层于该介电硬遮罩层上;移除部分的该第二金属层以在部分的该介电硬遮罩层上形成一金属硬遮罩层;形成一底部反反射层于该第二金属层与部分的该介电硬遮罩层上;形成一光罩层于该底部反反射层上;移除部分的该介电硬遮罩层与部分的该第二低介电常数介电层以在该介电硬遮罩层与该第二低介电常数介电层内形成一第一渠沟;移除该光罩层与该底部反反射层;移除部分的该介电硬遮罩层与该第一渠沟的一底部的该中间蚀刻停止层;移除部分的该第二低介电常数介电层以在该第二低介电常数介电层内形成一第二渠沟并移除部分的该第一低介电常数介电层以在该第一低介电常数介电层内形成一第三渠沟,其中,该第二渠沟与该第三渠沟相互连接;移除该第三渠沟的一底部的该覆盖层,并移除该第二渠沟的部分的一底部的该中间蚀刻停止层;形成一阻障层于该第二金属层上、该第二渠沟的部分的该底部、该第二渠沟的一侧壁、该第三渠沟的该底部、该第三渠沟的一侧壁上;形成一第三金属层于该阻障层上与该第二渠沟及该第三渠沟内,并填满该第二渠沟与该第三渠沟;及移除部分的该第三金属层以露出该第二金属层,并使该第三金属层与该第二金属层的一平面为一平坦的平面。
根据本发明又一方面提供一种制作一双镶嵌插销的方法,其特点是,该方法至少包括:提供一晶片,该晶片包括一第一金属层;形成一覆盖层于该第一金属层上;形成一第一低介电常数介电层于该覆盖层上;形成一中间蚀刻停止层于该第一低介电常数介电层上;形成一第二低介电常数介电层于该中间蚀刻停止层上;形成一介电硬遮罩层于该第二低介电常数介电层上;形成一第二金属层于该介电硬遮罩层上;移除部分的该第二金属层以在部分的该介电硬遮罩层上形成一金属硬遮罩层;形成一底部反反射层于该第二金属层与部分的该介电硬遮罩层上;形成一光罩层于该底部反反射层上;移除部分的该介电硬遮罩层与部分的该第二低介电常数介电层以在该介电硬遮罩层与该第二低介电常数介电层内形成一第一渠沟;移除该光罩层与该底部反反射层;移除部分的该介电硬遮罩层与该第一渠沟的一底部的该中间蚀刻停止层;移除部分的该第二低介电常数介电层以在该第二低介电常数介电层内形成一第二渠沟并移除部分的该第一低介电常数介电层以在该第一低介电常数介电层内形成一第三渠沟,其中,该第二渠沟与该第三渠沟相互连接;移除该第三渠沟的一底部的该覆盖层,并移除该第二渠沟的部分的一底部的该中间蚀刻停止层;形成一阻障层于该第二金属层上、该第二渠沟的部分的该底部、该第二渠沟的一侧壁、该第三渠沟的该底部、该第三渠沟的一侧壁上;形成一铜金属层于该阻障层上与该第二渠沟及该第三渠沟内,并填满该第二渠沟与该第三渠沟;移除部分的该铜金属层以露出该第二金属层,并使该铜金属层与该第二金属层的一平面为一平坦的平面;移除该第二金属层上的该阻障层,以露出该第二金属层;及移除该介电硬遮罩层上的该第二金属层,以露出该介电硬遮罩层。
本发明提供了一种制作双镶嵌插销的方法,利用金属层作为一硬遮罩层,使双镶嵌插销之间的隔离层的表面为一平面,以避免双镶嵌插销彼此之间发生桥接的现象。本发明也可避免双镶嵌插销彼此之间产生漏电流的缺陷并增加后续制程的制程宽度。本发明还可增加半导体元件的品质,并降低生产所需的成本。
为进一步说明本发明的目的、结构特点和效果,以下将结合附图对本发明进行详细的描述。
(4)附图说明
图1为利用传统的方法制作双镶嵌插销的示意图;
图2为在传统的方法所制作出的双镶嵌插销内填入金属层并经过化学机械研磨制程的示意图;
图3为在晶片的第一金属层上形成覆盖层、第一低介电常数介电层、中间蚀刻停止层、第二低介电常数介电层、介电硬遮罩层及第二金属层的示意图;
图4为移除部分第二金属层的示意图;
图5为在第二金属层与部分的介电硬遮罩层上形成一底部反反射层与一光罩层,并在第二低介电常数介电层与介电硬遮罩层内形成一第一渠沟的示意图;
图6为移除底部反反射层与光罩层的示意图;
图7为移除部分的介电硬遮罩层与第一渠沟底部的中间蚀刻停止层的示意图;
图8为移除部分的第一低介电常数介电层第二低介电常数介电层以在第二低介电常数介电层内形成一第二渠沟并在第一低介电常数介电层形成第三渠沟的示意图;
图9为移除第二渠沟的部分底部上的中间蚀刻停止层并移除第三渠沟底部的覆盖层的示意图;
图10为在第二渠沟的侧壁及部分底部、第三渠沟的侧壁及底部与第二金属层上形成一阻障层的示意图;
图11为在阻障层上形成一第三金属层,并填满第二渠沟与第三渠沟的示意图;
图12为利用化学机械研磨的方式移除部分的第三金属层以露出隔离层上的阻障层的示意图;
图13为移除部分的阻障层以在隔离层上露出第二金属层的示意图;及
图14为移除第二金属层以在隔离层上露出介电硬遮罩层。
(5)具体实施方式
本发明的一些实施例会详细描述如下。然而,除了详细描述外,本发明还可以广泛地以其他的实施例施行,且本发明的范围不受其限定,而以权利要求的专利范围为准。
本发明提供了一种利用一金属层作为硬遮罩层以形成双镶嵌插销的方法。而在半导体制程进入深次微米领域后,以铜作为双镶嵌插销的材质并配合金属间介电层及使用低介电常数的材料,可有效降低电阻电容延迟(resistance-capacitance delay)时间并提升电致迁移(electromigration)的特性。
铜(copper)为双镶嵌插销中的一项较新的材料。铜的功能是要改善产品的性能及可靠度,但是在使用传统铝制程的技术时,铜会引起额外的难以克服的问题。例如:在常见的铝连接结构中,铝金属层与二氧化硅内层介电层(inter-level dielectric)之间通常不需要有一阻障层。然而在利用铜取代铝时,铜必须被包围在内层介电层内而导致可轻易地扩散/漂移至邻接的介电层。一旦铜到达硅底材,将会降低元件的性能。
为了要将铜填入渠沟及插销,在铜及其相邻的材料之间必须再形成一阻障层以便相互区隔。因为在将铜填入渠沟及插销内部是一项必须的步骤,其要求一阻障层材质用以分隔铜。目前其他的材质可取代二氧化硅作为内层介电层的材质。藉由一低介电常数的材质来取代二氧化硅以降低金属层与金属层之间的电容(capacitance),并由此降低在金属层与金属层相互连接处发生电阻电容延迟时间、干扰噪音(cross-talk noise)及电力的浪费的缺陷。然而目前在金属层与金属层相互连接处与低介电常数的内层介电层间通常需要有一阻障层,以防止两者间相互影响,并提供一黏结作用使两者互相黏结。甚至在使用铝作为金属层与金属层间的材质时,此阻障层的功能也是令人满意的。
参照图3所示,首先必须提供一晶片,此晶片至少包括一第一金属层100。接下来在此第一金属层100上形成一覆盖层200,并在此覆盖层200上形成一层第一低介电常数介电层300。此覆盖层200采用化学气相沉积(chemicalvapor deposition;CVD)法的方式形成且其材质可为氮化硅(silicon nitride;SiN)或是碳化硅(silicon carbon;SiC)。此覆盖层200的厚度约为100至1000埃。而第一低介电常数介电层300所使用的材质为可用化学气相沉积或旋转涂布(spin-on deposition;SOD)成膜,而其介电常数小于4.0的材料。第一低介电常数介电层300的厚度大约为1000至5000埃。接下来在此第一低介电常数介电层300上形成一层中间蚀刻停止层400,并在此中间蚀刻停止层400上形成一层第二低介电常数介电层500。此中间蚀刻停止层400的材料大部分采用氮化硅或是碳化硅而第二低介电常数介电层500所使用的材质为可用化学气相沉积或旋转涂布成膜,而其介电常数小于4.0的材料。中间蚀刻停止层400的厚度大约为100至1000埃。第二低介电常数介电层500的厚度大约为1000至5000埃。最后在此第二低介电常数介电层500上形成一介电硬遮罩(dielectric hard mask)层600,并在此第一介电硬遮罩层600上形成一层第二金属层700。此一介电硬遮罩层600通常采用氮化硅或是碳化硅。此第二金属层700为一金属硬光罩层,其大部分利用化学气相沉积法或是物理气相沉积法(physical vapor deposition)形成。通常采用钛(titanium;Ti)、氮化钛(titanium nitride;TiN)、钽(tantalum;Ta)、氮化钽(tantalum nitride;TaN)、铝或钨作为第二金属层的材质。介电硬遮罩层600的厚度大约为100至1000埃。第二金属层700的厚度大约为50至500埃。
参照图4所示,在第二金属层700上限定隔离层的位置,利用一微影及蚀刻的制程移除部分的第二金属层700以在隔离层上形成一第二金属层700,并露出部分的介电硬遮罩层600。参照图5所示,在介电硬遮罩层600上限定出第一渠沟710的位置,在第二金属层700与露出的介电硬遮罩层600上形成一底部反反射层(bottom anti-reflective coating)800与一光罩层(photomask layer)810。随着制程条件的不同,有时此底部反反射层800可省略以加快制程运作的效率。接下来利用一微影及蚀刻的制程移除部分的介电硬遮罩层600与部分的第二低介电常数介电层500以在介电硬遮罩层600与第二低介电常数介电层500内形成第一渠沟710。此第一渠沟710的底部露出中间蚀刻停止层400。最后将底部反反射层800与光罩层810移除(参照图6所示)。
参照图7所示,在介电硬遮罩层600上限定第二渠沟的位置并藉由一蚀刻的制程移除第一渠沟710底部的中间蚀刻停止层400与露出的介电硬遮罩层600。在隔离层的介电硬遮罩层600,因受到第二金属层700的保护而不会在此蚀刻制程中被移除。参照图8所示,藉由一蚀刻的制程移除部分的第二低介电常数介电层500与部分的第一低介电常数介电层300以在第二低介电常数介电层500、介电硬遮罩层600与第二金属层700内形成第二渠沟720,并在第一低介电常数介电层300内形成第三渠沟730。部分的第二渠沟720与第三渠沟730相互连接而形成双镶嵌插销的形状。第二渠沟720部分的底部露出中间蚀刻停止层400而第三渠沟730的底部露出覆盖层200。
参照图9所示,藉由一蚀刻的制程移除第二渠沟720部分底部的中间蚀刻停止层400及第三渠沟730底部的覆盖层200,使得第二渠沟部分底部露出第一低介电常数介电层300,并使第三渠沟730的底部露出第一金属层100。在图7至图9的蚀刻过程中,作为金属硬光罩层的第二金属层700与属于介电材质的介电硬光罩层600、中间蚀刻停止层400、第一低介电常数介电层300与第二低介电常数介电层500的材料性质不同,因此在蚀刻的过程中,第二金属层700与各介电材质间可以很容易得到非常高的蚀刻选择比(>20),而使得第二金属层700的表面为一平面,并得以避免第二金属层700因与其他各介电材质间的蚀刻选择比不够,而导致第二金属层700表面成为圆弧状的轮廓。
参照图10所示,在第二渠沟720的侧壁及部分的底部、第三渠沟730的侧壁及底部与第二金属层700上形成一层阻障层(barrier layer)850,此阻障层850的材料可采用氮化钽/钽或是氮化钛/钛作为其材质。参照图11所示,在阻障层850上形成一层第三金属层900,并填满第二渠沟720与第三渠沟730。此第三金属层900可采用铝或是铜等材质。在本实施例中,采用铜作为此第三金属层900的材质,但并不限制本发明的范围。利用电镀的方式将铜沉积在阻障层850上作为第三金属层900。
参照图12所示,利用化学机械研磨的方式移除部分的第三金属层900,以在隔离层上露出阻障层850,并使第三金属层900与阻障层850的表面为一光滑的平面。因为本发明采用第二金属层700作为一硬遮罩层,因此化学机械研磨的制程宽度较为足够,双镶嵌插销之间较不会发生桥接的缺陷而产生漏电流的缺陷。参照图13所示,利用化学机械研磨的方式或是蚀刻的方式将阻障层850移除。参照图14所示,利用化学机械研磨的方式或是蚀刻的方式将第二金属层700移除,即可结束双镶嵌插销的制程。第二金属层700与阻障层850也可利用同一步骤的化学机械研磨或是蚀刻制程同时移除。
根据以上所述的目的,本发明提供了一种制作双镶嵌插销的方法,利用金属层作为一硬遮罩层,使双镶嵌插销之间的隔离层的表面为一平面,以避免双镶嵌插销彼此之间发生桥接的现象。本发明也可避免双镶嵌插销彼此之间产生漏电流的缺陷并增加后续制程的制程宽度。本发明还可增加半导体元件的品质,并降低生产所需的成本。
当然,本技术领域中的普通技术人员应当认识到,以上的实施例仅是用来说明本发明,而并非用作为对本发明的限定,只要在本发明的实质精神范围内,对以上所述实施例的变化、变型都将落在本发明权利要求书的范围内。

Claims (36)

1.一种制作一双镶嵌插销的方法,其特征在于,该方法至少包括:
提供一晶片,该晶片包括一第一金属层;
形成一覆盖层于该第一金属层上;
形成一第一低介电常数介电层于该覆盖层上;
形成一中间蚀刻停止层于该第一低介电常数介电层上;
形成一第二低介电常数介电层于该中间蚀刻停止层上;
形成一介电硬遮罩层于该第二低介电常数介电层上;
形成一第二金属层于该介电硬遮罩层上;
移除部分的该第二金属层以在部分的该介电硬遮罩层上形成一金属硬遮罩层;
形成一光罩层于该第二金属层与部分的该介电硬遮罩层上;
移除部分的该介电硬遮罩层与部分的该第二低介电常数介电层以在该介电硬遮罩层与该第二低介电常数介电层内形成一第一渠沟;
移除该光罩层;
移除部分的该介电硬遮罩层与该第一渠沟的一底部的该中间蚀刻停止层;
移除部分的该第二低介电常数介电层以在该第二低介电常数介电层内形成一第二渠沟并移除部分的该第一低介电常数介电层以在该第一低介电常数介电层内形成一第三渠沟,其中该第二渠沟与该第三渠沟相互连接;
移除该第三渠沟的一底部的该覆盖层,并移除该第二渠沟的部分的一底部的该中间蚀刻停止层;
形成一第三金属层于该第二金属层上与该第二渠沟及该第三渠沟内,并填满该第二渠沟与该第三渠沟;及
移除部分的该第三金属层以露出该第二金属层,并使该第三金属层与该第二金属层的一平面为一平坦的平面。
2.如权利要求1所述的方法,其特征在于,所述的第二金属层为钛。
3.如权利要求1所述的方法,其特征在于,所述的第二金属层为氮化钛。
4.如权利要求1所述的方法,其特征在于,所述的第二金属层为钽。
5.如权利要求1所述的方法,其特征在于,所述的第二金属层为氮化钽。
6.如权利要求1所述的方法,其特征在于,所述的第二金属层为铝。
7.如权利要求1所述的方法,其特征在于,所述的第二金属层为钨。
8.如权利要求1所述的方法,其特征在于,所述的中间蚀刻停止层为氮化硅。
9.如权利要求1所述的方法,其特征在于,所述的中间蚀刻停止层为碳化硅。
10.一种制作一双镶嵌插销的方法,其特征在于,该方法至少包括:
提供一晶片,该晶片包括一第一金属层;
形成一覆盖层于该第一金属层上;
形成一第一低介电常数介电层于该覆盖层上;
形成一中间蚀刻停止层于该第一低介电常数介电层上;
形成一第二低介电常数介电层于该中间蚀刻停止层上;
形成一介电硬遮罩层于该第二低介电常数介电层上;
形成一第二金属层于该介电硬遮罩层上;
移除部分的该第二金属层以在部分的该介电硬遮罩层上形成一金属硬遮罩层;
形成一底部反反射层于该第二金属层与部分的该介电硬遮罩层上;
形成一光罩层于该底部反反射层上;
移除部分的该介电硬遮罩层与部分的该第二低介电常数介电层以在该介电硬遮罩层与该第二低介电常数介电层内形成一第一渠沟;
移除该光罩层与该底部反反射层;
移除部分的该介电硬遮罩层与该第一渠沟的一底部的该中间蚀刻停止层;
移除部分的该第二低介电常数介电层以在该第二低介电常数介电层内形成一第二渠沟并移除部分的该第一低介电常数介电层以在该第一低介电常数介电层内形成一第三渠沟,其中,该第二渠沟与该第三渠沟相互连接;
移除该第三渠沟的一底部的该覆盖层,并移除该第二渠沟的部分的一底部的该中间蚀刻停止层;
形成一阻障层于该第二金属层上、该第二渠沟的部分的该底部、该第二渠沟的一侧壁、该第三渠沟的该底部、该第三渠沟的一侧壁上;
形成一第三金属层于该阻障层上与该第二渠沟及该第三渠沟内,并填满该第二渠沟与该第三渠沟;及
移除部分的该第三金属层以露出该第二金属层,并使该第三金属层与该第二金属层的一平面为一平坦的平面。
11.如权利要求10所述的方法,其特征在于,所述的第二金属层为钛。
12.如权利要求10所述的方法,其特征在于,所述的第二金属层为氮化钛。
13.如权利要求10所述的方法,其特征在于,所述的第二金属层为钽。
14.如权利要求10所述的方法,其特征在于,所述的第二金属层为氮化钽。
15.如权利要求10所述的方法,其特征在于,所述的中间蚀刻停止层为氮化硅。
16.如权利要求10所述的方法,其特征在于,所述的第二金属层为铝。
17.如权利要求10所述的方法,其特征在于,所述的中间蚀刻停止层为钨。
18.如权利要求10所述的方法,其特征在于,所述的中间蚀刻停止层为碳化硅。
19.如权利要求10所述的方法,其特征在于,所述的第三金属层的一材料为铜。
20.如权利要求10所述的方法,其特征在于,所述的阻障层为氮化钽/钽。
21.如权利要求10所述的方法,其特征在于,所述的阻障层为氮化钛/钛。
22.一种制作一双镶嵌插销的方法,其特征在于,该方法至少包括:
提供一晶片,该晶片包括一第一金属层;
形成一覆盖层于该第一金属层上;
形成一第一低介电常数介电层于该覆盖层上;
形成一中间蚀刻停止层于该第一低介电常数介电层上;
形成一第二低介电常数介电层于该中间蚀刻停止层上;
形成一介电硬遮罩层于该第二低介电常数介电层上;
形成一第二金属层于该介电硬遮罩层上;
移除部分的该第二金属层以在部分的该介电硬遮罩层上形成一金属硬遮罩层;
形成一底部反反射层于该第二金属层与部分的该介电硬遮罩层上;
形成一光罩层于该底部反反射层上;
移除部分的该介电硬遮罩层与部分的该第二低介电常数介电层以在该介电硬遮罩层与该第二低介电常数介电层内形成一第一渠沟;
移除该光罩层与该底部反反射层;
移除部分的该介电硬遮罩层与该第一渠沟的一底部的该中间蚀刻停止层;
移除部分的该第二低介电常数介电层以在该第二低介电常数介电层内形成一第二渠沟并移除部分的该第一低介电常数介电层以在该第一低介电常数介电层内形成一第三渠沟,其中,该第二渠沟与该第三渠沟相互连接;
移除该第三渠沟的一底部的该覆盖层,并移除该第二渠沟的部分的一底部的该中间蚀刻停止层;
形成一阻障层于该第二金属层上、该第二渠沟的部分的该底部、该第二渠沟的一侧壁、该第三渠沟的该底部、该第三渠沟的一侧壁上;
形成一铜金属层于该阻障层上与该第二渠沟及该第三渠沟内,并填满该第二渠沟与该第三渠沟;
移除部分的该铜金属层以露出该第二金属层,并使该铜金属层与该第二金属层的一平面为一平坦的平面;
移除该第二金属层上的该阻障层,以露出该第二金属层;及
移除该介电硬遮罩层上的该第二金属层,以露出该介电硬遮罩层。
23.如权利要求22所述的方法,其特征在于,所述的第二金属层为钛。
24.如权利要求22所述的方法,其特征在于,所述的第二金属层为氮化钛。
25.如权利要求22所述的方法,其特征在于,所述的第二金属层为钽。
26.如权利要求22所述的方法,其特征在于,所述的第二金属层为氮化钽。
27.如权利要求22所述的方法,其特征在于,所述的第二金属层为铝。
28.如权利要求22所述的方法,其特征在于,所述的第二金属层为钨。
29.如权利要求22所述的方法,其特征在于,所述的中间蚀刻停止层为氮化硅。
30.如权利要求22所述的方法,其特征在于,所述的中间蚀刻停止层为碳化硅。
31.如权利要求22所述的方法,其特征在于,所述的第三金属层的材料为铜。
32.如权利要求22所述的方法,其特征在于,所述的阻障层为氮化钽/钽。
33.如权利要求22所述的方法,其特征在于,所述的阻障层为氮化钛/钛。
34.如权利要求22所述的方法,其特征在于,所述的介电硬遮罩层为碳化硅。
35.如权利要求22所述的方法,其特征在于,所述的介电硬遮罩层为氮化硅。
36.如权利要求22所述的方法,其特征在于,所述的第二金属层的厚度约为50至500埃。
CNB021268479A 2001-11-13 2002-07-19 以金属硬遮罩层制作双镶嵌插销的方法 Expired - Lifetime CN1191623C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/986,929 US6831013B2 (en) 2001-11-13 2001-11-13 Method of forming a dual damascene via by using a metal hard mask layer
US09/986,929 2001-11-13

Publications (2)

Publication Number Publication Date
CN1419277A true CN1419277A (zh) 2003-05-21
CN1191623C CN1191623C (zh) 2005-03-02

Family

ID=25532890

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021268479A Expired - Lifetime CN1191623C (zh) 2001-11-13 2002-07-19 以金属硬遮罩层制作双镶嵌插销的方法

Country Status (2)

Country Link
US (1) US6831013B2 (zh)
CN (1) CN1191623C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332427A (zh) * 2011-10-13 2012-01-25 上海华力微电子有限公司 第一层铜互连的制作方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7718536B2 (en) * 2005-06-16 2010-05-18 United Microelectronics Corp. Planarization process for pre-damascene structure including metal hard mask
US7214612B2 (en) * 2005-08-31 2007-05-08 United Microelectronics Corp. Dual damascene structure and fabrication thereof
US7435673B2 (en) * 2005-09-28 2008-10-14 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having metal interconnect structures therein
US7927990B2 (en) * 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
TWI365483B (en) * 2007-12-04 2012-06-01 Advanced Semiconductor Eng Method for forming a via in a substrate
JP5601974B2 (ja) * 2010-01-19 2014-10-08 パナソニック株式会社 半導体装置及びその製造方法
US8114769B1 (en) * 2010-12-31 2012-02-14 Globalfoundries Singapore Pte, Lte. Methods and structures to enable self-aligned via etch for Cu damascene structure using trench first metal hard mask (TFMHM) scheme
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8822336B2 (en) 2011-06-16 2014-09-02 United Microelectronics Corp. Through-silicon via forming method
US8828745B2 (en) 2011-07-06 2014-09-09 United Microelectronics Corp. Method for manufacturing through-silicon via
US8518823B2 (en) 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8609529B2 (en) 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
DE112013001138B4 (de) * 2012-02-24 2018-01-18 Tokyo University Of Agriculture And Technology Vorrichtung zum Messen der Wärmeabstrahlung eines Messobjekts, Verfahren zum Messen der Wärmeabstrahlung eines Messobjekts und Zelle zum Messen der Wärmeabstrahlung
US8691600B2 (en) 2012-05-02 2014-04-08 United Microelectronics Corp. Method for testing through-silicon-via (TSV) structures
US8691688B2 (en) 2012-06-18 2014-04-08 United Microelectronics Corp. Method of manufacturing semiconductor structure
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8525296B1 (en) 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
US20150017798A1 (en) * 2013-07-11 2015-01-15 United Microelectronics Corp. Method of manufacturing through-silicon-via
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US20150221547A1 (en) * 2014-02-04 2015-08-06 Stmicroelectronic, Inc. Hardmask faceting for enhancing metal fill in trenches
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US10896874B2 (en) * 2019-03-25 2021-01-19 Globalfoundries Inc. Interconnects separated by a dielectric region formed using removable sacrificial plugs

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010030169A1 (en) * 2000-04-13 2001-10-18 Hideo Kitagawa Method of etching organic film and method of producing element
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US20030190829A1 (en) * 2002-04-05 2003-10-09 Brennan Kenneth D. Dual damascene barrier structures and preferential etching method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332427A (zh) * 2011-10-13 2012-01-25 上海华力微电子有限公司 第一层铜互连的制作方法

Also Published As

Publication number Publication date
US6831013B2 (en) 2004-12-14
CN1191623C (zh) 2005-03-02
US20030092279A1 (en) 2003-05-15

Similar Documents

Publication Publication Date Title
CN1191623C (zh) 以金属硬遮罩层制作双镶嵌插销的方法
US4943539A (en) Process for making a multilayer metallization structure
US7393777B2 (en) Sacrificial metal spacer damascene process
US7655547B2 (en) Metal spacer in single and dual damascene processing
US7666781B2 (en) Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
CN100395880C (zh) 半导体结构及其制造方法
CN1707787A (zh) 半导体装置
US7833893B2 (en) Method for forming conductive structures
CN1722425A (zh) 半导体结构
US7781892B2 (en) Interconnect structure and method of fabricating same
CN1599028A (zh) 金属-绝缘体-金属电容器及互连结构
US7166532B2 (en) Method for forming a contact using a dual damascene process in semiconductor fabrication
CN1324677C (zh) 改善蚀刻中止层与金属导线间的粘着性的工艺与结构
CN1466190A (zh) 形成铜金属线的方法
CN1115725C (zh) 形成多级互连结构的方法
CN1750249A (zh) 集成电路中的半导体装置及其制造方法
CN1238892C (zh) 双重镶嵌结构的制造方法
US8293638B2 (en) Method of fabricating damascene structures
US20020055243A1 (en) Gap-type metallic interconnect and method of manufacture
CN1203540C (zh) 双重镶嵌结构的制造方法
US6627093B1 (en) Method of manufacturing a vertical metal connection in an integrated circuit
CN1532911A (zh) 整合镶嵌制程于制造金属-绝缘物-金属型电容的方法
US6713379B1 (en) Method for forming a damascene structure
KR100711926B1 (ko) 반도체 소자의 제조 방법
CN1428839A (zh) 积体电路的双镶嵌结构的制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20050302