CN1113032A - 一种半导体器件的制造方法 - Google Patents

一种半导体器件的制造方法 Download PDF

Info

Publication number
CN1113032A
CN1113032A CN95102929A CN95102929A CN1113032A CN 1113032 A CN1113032 A CN 1113032A CN 95102929 A CN95102929 A CN 95102929A CN 95102929 A CN95102929 A CN 95102929A CN 1113032 A CN1113032 A CN 1113032A
Authority
CN
China
Prior art keywords
nucleus
semiconductor layer
film
catalyst
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN95102929A
Other languages
English (en)
Other versions
CN1094652C (zh
Inventor
大谷久
宫永昭治
竹山顺一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1113032A publication Critical patent/CN1113032A/zh
Application granted granted Critical
Publication of CN1094652C publication Critical patent/CN1094652C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

一种制造具有结晶硅膜的半导体器件的方法,它 由在非晶硅膜的表面区域形成晶核和利用激光从晶 核生长晶体两个步骤组成。典型的晶核是硅晶体或 具有与硅晶体相同结构的金属硅化物。

Description

本发明涉及一种具有结晶半导体材料的半导体器件及其制造方法。
利用在基片上形成的薄膜半导体制造的薄膜晶体管是公知的。这种薄膜晶体管(TFT)被用于集成电路中,特别在诸如有源矩阵型液晶器件一类电光器件中,作为每个象素的开关元件或者作为驱动有源矩阵元件的外围电路中的驱动元件。
用于TFT的非晶硅膜是容易获得的。然而非晶硅膜的电性质是较差的。因此,人们希望使用具有结晶性的半导体膜,即多晶硅、微晶硅、单晶硅半导体或类似物。
作为一种用来形成具有结晶性的硅膜(以下称结晶硅)的方法,首先淀积一层非晶硅膜,然后通过使用加热或激光之类光能作用使其晶化的步骤是公知的。
然而,在使用热能加热情况下,需将基片加热到600℃或更高的温度保持10小时以上。例如,通常用作有源矩阵型液晶器件的基片的Corning7059玻璃,其玻璃变形点为593℃。因此,通过在上述高温下加热处理进行结晶化对玻璃基片是不适用的。另一方面,例如受激准分子激光这样的短脉冲激光虽然具有不使玻璃基片变形的优点,但在使用激光情况下器件特性的一致性却不太好。本发明人以为这是由于激光束的温度分布造成的。
为了解决上面讨论的非晶硅结晶化方面的有关问题,本发明人研究出一种促进加热晶化的方法,和一种减小激光结晶化离散不均匀的方法。
对于加热结晶化方法,发明人已经证明,通过在硅膜上淀积少量镍,钯,铅或者类似物,可以使非晶硅膜在550℃温度下经4小时的热处理被结晶化。
引入少量上述元素(即促进结晶的催化剂元素)的方法可以采用等离子处理,蒸发以及离子注入等。在等离子处理中,在平行板型或正圆柱型的等离子CVD(化学汽相淀积法)设备中,使用一个包含有催化剂元素的电极制备氮或氢的等离子,借此将催化剂元素加到非晶硅膜上。
然而,不希望在半导体中存在过多的上述元素,因为使用这种半导体会妨碍半导体器件的可靠性或者电稳定性。因此,本发明人发现尽管对非晶硅的结晶化需要使用催化剂元素,但在结晶硅膜中的催化剂元素的浓度应控制到最小。为达到这一目的,最好使用对结晶硅不活泼的催化剂元素,以及精确控制加到硅膜中的催化剂的用量,以使其中的催化剂元素的浓度减至最小。
使用等离子处理加镍催化剂的晶化工艺已被详细地研究,并获得以下结果:
(1)通过等离子处理将镍掺入非晶硅膜时,在膜经受热处理以前,镍已渗透进入非晶硅膜一个可观的深度;
(2)在加镍的硅膜表面产生初始成核;
(3)当通过汽相淀积在非晶硅膜上形成镍层时,将以与等离子处理相同的方式发生非晶硅膜的结晶化。
据上所述,可以认为由等离子处理引入的镍并非全部都起促进硅结晶化的作用。即若引入大量的镍,必然会出现镍过量,它们并不起促进结晶化的作用。由于这个原因,只有同镍接触的那些硅的点和面才能起到在低温下促进硅结晶化的作用。此外,可以推断镍必须以原子的方式微小地散布在硅中。即,可以认为镍必须以原子的方式散布在非晶硅膜的表面附近,并且镍的浓度应当在足以促进低温结晶化的范围内尽可能地低。
能够促进硅结晶化的微量催化剂可以通过,例如汽相淀积掺入非晶硅膜表面附近。然而,就膜的可控性方面而言汽相淀积是较差的,因此,不适宜用来精确控制要掺入非晶硅膜中的催化剂的用量。
有关在激光结晶化中发生的特性离散,本发明人通过实验发现,这是由下面两个主要原因造成的,(1)由于激光辐照表面上温度分布造成的结晶度不均匀,(2)由于偶然产生的晶核。特别,激光束一般具有高斯分布的强度分布。非晶硅膜的温度也是符合这一分布。结果,在非晶硅膜通过熔化或部分熔化而结晶化的过程中,结晶化必然在比其它区域有较低或较高温度离散度的区域开始,因为结晶是在一个区域从熔化条件向固态变化时发生的。然而实践中,晶核不一定存在于这些区域,因此,有可能形成过冷的区域。若这种过冷区域同晶核接触,那么结晶化将爆发性地发生。还有,因为晶核易于在有氧化硅界面的表面粗糙处形成,因而可以认为结晶的均匀性是极困难的。
因此,人们期望一个同其它区域相比其温度首先低于熔化点的区域同存在晶核的区域正好相符。
本发明的目的是要获得一种具有高均匀性的结晶半导体膜。更具体地说,鉴于上述情况,本发明的目的是要控制硅膜中晶核的形成。
按照本发明的一个方面,是将晶核引入非晶硅膜的预定区域,以便接着进行激光结晶化处理。当晶核对于激光比非晶硅有较高的传导率和较高的热传导率时,膜的温度在晶核处首先低于熔化点,因此结晶在那里开始,并且可以获得均匀的结晶膜。作为晶核,要求采用允许硅外延生长的材料,例如,硅的微晶或通过在非晶膜中加镍然后加热而形成的硅化镍。
此外,在硅膜中不均匀地,即只在膜的上、下表面加晶核也是合乎要求的。之所以在硅膜的表面上添加晶核是适宜的,是因为在膜的厚度方向结晶化是充分进行的。同时,这被认为有助于增大每个晶体的尺寸。
从加有晶核的硅膜侧辐照激光也是可取的。通过这样做,同不形成晶核而仅用激光辐照的情况相比,有可能显著地减少激光辐照以后的表面粗糙度。本发明人认为,这是因为晶核(即结晶硅)对激光的吸收效率小于非晶硅,结果使这一部分不易熔化。这样形成的表面的粗糙度可同仅用固相生长法的情形相比。一般来说,表面粗糙度对诸如TFT之类半导体器件是有害的,例如,它造成载流子的耗散。
按照本发明的一个实施例,制造半导体器件的方法包括以下步骤:
形成非晶硅膜;
把晶核引入所述的非晶硅膜;并且
由所述的晶核生长晶体,由此获得结晶硅膜。
通过在非晶硅膜表面上添加催化剂包括诸如镍之类催化剂元素来形成晶核,然后通过加热或光辐照(IR光辐照)施加能量。进一步,通过从形成晶核的一侧辐照激光或相当于激光的光,由引入的晶核生长晶体。晶体的生长是外延的。
作为加催化剂元素的方法,适宜于用含有催化剂元素的溶液涂敷在非晶硅膜上。具体地说,催化剂元素应当通过与非晶硅膜表面接触而被添加。对于精确控制掺入到膜中的催化剂元素的用量,这是重要的。
催化剂元素既可从非晶硅膜的上表面,也可从下表面添加。在前一种情况下,溶液应当在非晶硅膜淀积以后施加到其上表面。在后一种情况下,溶液应当施加在基片表面,然后在其上形成非晶硅膜。
按照本发明的结晶硅膜适于作为至少具有诸如PN,PI,NI或类似的一种电结的半导体器件的有源区域。例如可以制造薄膜晶体管,二极管,光敏探测器。
本发明具有下述优点:
(a)可以精确地控制和减少硅膜中催化剂元素的浓度。
(b)若使溶液与非晶硅膜表面接触,被掺入到硅膜中的催化剂元素的量,由溶液中催化剂元素的浓度决定。
(c)可以最低的密度将催化剂元素引入非晶硅膜,因为非晶硅膜表面吸收的催化剂元素起促进晶化的作用。
(d)不需高温处理,可以获得具有良好结晶度的结晶硅膜。
由溶液提供的催化剂可以是化合物的形式或原子的形式。同时,它可以溶解在溶液中,或者以弥散在溶液中替代。
在使用诸如水,酒精,酸或氨之类的极性溶剂的情况下,可以使用下述化合物来添加镍,即溴化镍,醋酸镍,草酸镍,碳酸镍,氯化镍,碘化镍,硝酸镍,硫酸镍,甲酸镍,乙酰丙酮镍,4-环已基丁酸镍,氧化镍,和氢氧化镍。
苯,甲苯,二甲苯,四氯化碳,三氯甲烷或醚可以用作非极性溶剂。适用于非极性溶剂的镍化合物的例子有乙酰丙酮镍和二乙基己酸镍。
此外,还可以在包含催化剂元素的溶液中加入界面活性剂。这样,溶液可以被表面以较高的效率粘附或吸附。界面活性剂可以在涂敷溶液以前先涂敷到表面上。
当使用单质镍(金属)时,需要用酸将其溶解。
在前述的例子中,镍可以被溶剂完全溶解。然而,即使镍不完全溶解,也可以使用乳剂材料,其中单质镍或镍的化合物均匀地弥散在弥散介质中。也可以使用一种溶液用来形成氧化硅膜。这种溶液的一个例子是OCD(Ohka  Diffusion  Source)它是由东京Ohka  Kogyo  Kabushiki  Kaisha生产的。通过在表面上涂敷OCD,然后在大约200℃温度下烘烤,可以容易地形成一层氧化硅,还可以在氧化硅膜中加入所需的杂质。
当使用极性溶剂例如水来溶解镍时,非晶硅膜常常排斥它。在这种情况下,最好在非晶硅膜上形成一层薄的氧化膜,以便能均匀地接触溶液。氧化膜的厚度最好在100
Figure 951029290_IMG2
以下。为了提高浸润性质,溶液中也可以加入界面活性剂。
当采用非极性溶剂例如甲苯获得2-乙基己酸镍时,可以在非晶硅膜表面上直接形成溶液。然而,可以在非晶硅膜和溶液之间插入一种材料,用于增强两者之间的粘度,例如OAP(包含六甲基二硅氮烷)作为主要组分,由Tokyo  Oka  Kogyo生产),它被用来增强抗蚀剂的粘度。
溶液中催化剂元素的浓度由溶液的种类决定,然而,粗粗地说,催化剂元素例如镍在溶液中的重量浓度应当在0.01-10ppm,最好为0.01-1ppm。浓度测量是在晶化完成以后,以在硅膜中的镍浓度为基础进行的。
在加有催化剂元素的非晶硅膜上进行热处理形成晶核以后,通过激光辐照硅膜可以被均匀地结晶成结晶硅膜。
当在非晶硅膜上没有晶核的情况下进行激光晶化时,晶化需要的激光功率比在膜上预先形成晶核进行激光晶化要大得多。通常认为,具有微晶的非晶硅膜结晶所需的激光功率,比没有结晶度的硅膜结晶所需的功率要高(因为两种硅膜对激光的吸收率不同)。然而,本发明完全与此相反,因为较低的激光功率足以使其中形成有晶核的硅膜被结晶。
在本发明中,晶化过程中成为晶核的硅膜区域可以通过控制掺入膜的催化剂元素的量而加以控制。膜可以被看作是在非晶结构与晶体结构的混合状态。结晶组分占膜的全部平面的比例,典型地是0.01到20%。在这种状态下应用激光,晶体可以从有结晶度的区域存在的晶核上生长。因此,可能获得较高的结晶度。换言之,小晶粒长成大的晶粒,由于这些原因,晶体生长的长度,和晶粒的数量和尺寸或其它类似参数可以通过控制催化剂元素的量和激光功率来加以控制。
也可以使用强光来代替激光,特别可将红外线用于结晶化。因为红外线不大为玻璃基片吸收,所以可以用来仅加热硅膜。这种辐照通常称作快速热退火(RTA)或快速热处理(RTP)。
在本发明中,镍被公开为最佳催化剂元素。然而,应当说明,其它催化剂元素可以相似的方式使用。作为例子这些元素是钯,铂,铜,银,金,铟,锡,铅,磷,砷和锑。也可以从元素周期表中的Ⅷ、Ⅲb、Ⅳb和Ⅴb族中选取一个或多个元素。
使用的水或酒精之类溶液也可以用其它材料替代,这些材料包含有催化剂材料,例如金属化合物或氧化物。
下面对附图作简要说明。
图1A-1D表示依据本发明制造工艺;
图2A-2C表示依据本发明的制造工艺;
图3A-3E表示依据本发明的实施例3的TFT制造工艺;
图4表示依据本发明实施例6的TFT的制造工艺;
图5表示依据本发明的有源矩阵液晶器件的一个例子的框图;
图6是与图2A的横截面相对应的照片。
以下将举例对本发明加以说明。
实施例1
在这个实施例中,将参照图1A-1D讨论在由含有催化剂的水溶液形成的半导体膜上,进行加热晶化后,再通过激光辐照进行晶化的过程。
在进行激光晶化以前,非晶硅膜中包含的氢浓度应当尽可能地小,例如,从0.01-10百分大气压。因此,为了使氢从硅膜中泄出,最好在低于结晶温度的温度下加热非晶硅膜。在替代办法中,使用100-500标准立方厘米的Si2H6硅烷和500标准立方厘米的氦,在相对较高的温度下,例如430-500℃通过低压化学汽相淀积方法形成非晶硅膜,其中氢的浓度处于上述的范围内。
在图1A中,参考数字11表示100mm×100mm的Corning 7059玻璃基片。起始,通过已知的等离子化学汽相淀积或低压化学汽相淀积在基片11上淀积一层厚度为100-1500
Figure 951029290_IMG3
的非晶硅膜12。例如,使用等离子化学汽相淀积(CVD),膜的厚度为1000
Figure 951029290_IMG4
为了去除非晶硅膜表面上的污染物或自然氧化物,用氢氟酸溶液处理所形成的膜面,接着在表面形成一层10-50
Figure 951029290_IMG5
的氧化膜13。如果能够忽略污染物的话,可用自然氧化物层代替氧化膜13。氧化膜13应当非常薄,例如大约为20
Figure 951029290_IMG6
。氧化膜13是在氧化气氛中,例如氧气中用紫外线辐照5分钟形成的。氧化膜13也可以通过热氧化作用或用过氧化氢处理形成。氧化膜13用于改善表面的湿润性质,即通过氧化膜13的设置,可以使在以后的步骤中用来施加催化剂元素的醋酸镍溶液能够被均匀地涂敷到硅膜整个表面。如果在非晶硅膜上没有氧化膜,则醋酸溶液易于受到非晶硅膜的排斥,以致不可能在上面均匀地添加镍,因此不可能实现均匀的晶化。
然而假如采用非极性溶剂,例如2-乙基己酸镍的甲苯溶液,就不必要氧化膜13,溶液可以在膜13上直接形成。
接着制备其中加有镍的醋酸溶液。镍在溶液中的浓度为5ppm。将2毫升这种溶液,滴到硅膜12上形成的氧化膜13的表面。这一条件保持1-5分钟。然后,用每分2000转的旋涂器进行旋转干燥达60分钟。如果需要,这一涂敷步骤可以多次重复。结果,可以在非晶硅膜12上形成一层均匀的厚度从数
Figure 951029290_IMG7
到数百
Figure 951029290_IMG8
的含镍层14。这一层中的镍在热处理期间将扩散到非晶硅膜中,并起催化剂作用促进结晶化。而且,这一层不必是完全的膜,即它可以是不连续的膜。
在非晶硅膜上施加溶液后应保持1-5分钟。进入硅膜的镍的浓度将取决于这一时间的长短。然而决定浓度的主要因素是溶液中含镍浓度。
接着,将基片在氮气氛中在550℃温度下加热处理1小时。这一步的结果是在硅膜12中产生部分结晶,即形成如图2A所示的晶核。参考数字21表示非晶硅膜12中形成的晶核。
上述热处理的温度不应低于450℃。若温度低于450℃,热处理的时间应延长,这样使产率降低。此外,若温度高于550℃,玻璃基片的耐热性将成为问题。
可以理解,含镍溶液可以在非晶硅膜13形成以前施加到基片上。在这种情况下,晶核从非晶硅膜的底表面被引入。
在上述热处理以后,用KrF准分子激光20(波长248纳米,脉宽30毫微秒)在氮气氛下辐照数次,硅膜12就被完全晶化。激光的功率强度为200-350毫焦耳/cm2。也可以使用红外线代替激光。在本发明中,重要的是激光应从已经形成晶核的非晶硅膜的上表面发射。图2A-2C表示在激光辐照下如何由晶核21长成晶体。通过这种晶体生长过程,形成多晶膜23。图6是与图2A相对应的一张照片。从图6的这张照片中可以看到从晶核长成的小晶体。在基片和硅膜之间尽管存在一层氧化硅膜,但在图6中不可能被看到。
实施例2
本实施例除溶液中镍的浓度被变为1ppm以外,同上一实施例是完全一样的。
在热处理以后用显微镜观察本实施例中的硅膜,结果发现非晶硅膜部分比上例增大,而晶核减少。
进一步,在激光晶化以后,对样品进行断面控制腐蚀(Secco-etched)并用扫描电子显微镜观察。结果发现,每一个晶体的尺寸要比上一实施例中获得的大。
实施例3
本实施例涉及用按照本发明的工艺制造的结晶硅膜制造TFT的工艺,这种TFT用于有源矩阵液晶显示器件的多个象素或用于驱动电路。TFT不但可以用于液晶显示器件,而且能用于一般称作薄膜集成电路(IC)广泛领域。
参见图3A-3E,按照本实施例制造TFT的工艺将在下面描述。在玻璃基片上淀积一层厚度为2000
Figure 951029290_IMG9
的氧化硅膜(图中未示出)作为基层。这一氧化硅层是用来防止杂质从玻璃基片渗入器件的。
然后,以与实施例1中相同的方式淀积一层厚度为500
Figure 951029290_IMG10
的非晶硅膜。在用氢氟酸处理去除自然氧化物膜以后,通过在氧气氛中以紫外线辐照形成一层厚度为20
Figure 951029290_IMG11
的氧化物薄膜。
在所形成的其上具有氧化膜的非晶硅膜上涂敷含有浓度为10ppm的镍的醋酸水溶液。将所得结构保持5分钟,然后用旋涂机将其旋转干燥。然后采用缓冲的氢氟酸去除氧化硅膜,并通过在550℃下对所得结构加热1小时,使硅膜部分结晶。这样获得一种非晶组分和结晶组分混合的硅膜。结晶部分就起晶核的作用。
然后,用KrF准分子激光从硅膜上表面以200-300毫焦尔强度对硅膜进行辐照。在激光辐照期间,对基片在400℃下进行加热。这样在前面步骤中生成的晶核就生长成晶体。
把这样结晶的硅膜刻成如图3A中所示的岛状区域104。这种岛状区域104起到TFT中的有源层的作用。在其后形成氧化硅膜105,其厚度从200-1500
Figure 951029290_IMG12
,例如1000 。这一氧化硅膜起栅绝缘膜的作用。
氧化硅膜105是采用四乙氧硅烷(TEOS)借助射频等离子化学汽相淀积方法形成的。即在基片温度为150℃-600℃,最好为300-450℃范围内,使四乙氧硅烷分解,然后同氧一起淀积。四乙氧硅烷和氧是在分压比为1∶1-1∶3,总压力为0.05-0.5乇,同时采用的射频功率为100至250W的条件下被引入的。氧化硅膜也可以采用四乙氧硅烷和臭氧一起作为起始气体,同时维持基片温度在350℃-600℃,最好在400-550℃的范围内,通过减压CVD或常压CVD方法制得。这样制得的膜要在氧气或臭氧中,在400-600℃温度范围内进行30-60分钟退火处理。
一种KrF准分子激光(波长为248纳米,脉宽为20毫微秒)或相当的强光在这一条件下进行辐照,可以有助于硅岛104的结晶化。特别,采用红外辐射进行快速热退火(RTA)是很有效的,因为它可以选择性地加热硅膜而不加热玻璃基片。而且,RTA在制造绝缘栅场效应半导体器件方向是特别有用的,因为它能降低硅层和氧化硅层之间的界面能级。
紧接着,通过电子束汽相淀积,形成一层厚度从2000
Figure 951029290_IMG14
到1微米的铝膜,并且刻成栅电极106。铝膜可以含有0.15-0.2%重量的钪作为掺杂剂。然后将基片浸入浓度为1-3%的酒石酸乙二醇溶液,该溶液的pH值被控制在7左右,由此进行阳极氧化,这里采用铂作为阴极,铝栅电极作为阳极。阳极氧化是这样实现的,首先以恒定的速度将电压提高到220伏,然后将电压稳定在220伏1小时以完成氧化。在施加恒定电流的条件下,电压最好以每分钟2-5伏的速率增加。这样将形成一层1500-3500
Figure 951029290_IMG15
厚的阳极氧化物109,例如:图6B中为2000
Figure 951029290_IMG16
使用栅电极部分作为掩膜,通过离子掺杂(等离子掺杂)使杂质(磷)以自对准方式注入TFT的岛状硅膜中。磷化氢(PH3)被用作掺杂气体。剂量为1×1015-4×1015cm-2
由于引入杂质其结晶度受到损坏的那部分,其结晶度此后通过用KrF准分子激光(波长248纳米,脉宽20毫微秒)辐照而得以恢复,情况如图3C所示。该激光功率强度是150-400毫焦耳/cm2,最好在200-250mJ/cm2内。结果形成N型杂质(磷)区域108和109。该区域的表面电阻被发现在200到800Ω/平方的范围内。
这一激光退火步骤可以用RTA处理来替代,即用闪光灯的快速热退火处理来替代,其中硅膜的温度被迅速地升到1000-1200℃的范围(在硅监视器上测量到的)。
接着,使用四乙氧硅烷(TEOS)和氧通过等离子CVD,或使用TEOS和臭氧通过减压CVD或常压CVD淀积一层厚3000
Figure 951029290_IMG17
的氧化硅膜作为层间绝缘110。这时基片温度被保持在250-450℃的范围内,例如在350℃。此后,对所得氧化硅膜进行机械抛光,从而获得平滑的表面(图3D)。
对层间电介质110进行腐蚀,以在源/漏上形成如图3E所示的接触孔,内连接112和113是采用铬或钛的氮化物形成的。
在现有技术中镍是通过等离子处理引入的,所以要选择地仅腐蚀氧化硅膜而不腐蚀硅膜是困难的。然而在本发明中,镍是采用浓度为10ppm如此低的含镍水溶液掺入到硅膜中的,因此,能形成对氢氟酸有强耐受力的硅膜和具有高的可再现性的接触孔。
最后,该结构在氢气中在300-400℃的温度下进行0.1到2小时的退火,以使硅膜氢化。这样TFT的制造就结束,它具有源漏区域108和109,沟道区域114和一个NI结115。按照前述的工艺在同一个基片上同时形成的多个TFT被安排成矩阵,就形成一个有源矩阵液晶显示器件。
按照本实施例,包含在有源层中的镍的浓度被设定在3×1018个原子/cm3或更低,例如在每立方厘米1×1016到3×1018个原子的范围内。
在本实施例中制造的N型沟道TFT具有200cm2/vs或更高的迁移率。同时,该TFT的阈电压是小的。此外,可以确信其迁移率的变化在±10%以内。可以认为,这是因为通过热处理均匀地引入晶核,然后用激光辐照进行结晶而形成了均匀的晶体的缘故。假如仅用激光辐照,尽管能够容易地获得具有150cm2/vs高的迁移率的N型沟道TFT。但在这种情况下,其均匀性不可能如在本发明中一样得到改善。
实施例4
在本实施例中,晶核被从基片侧面引入非晶硅膜,然后也从基片侧面进行激光辐照使其结晶化。
在本实施例中要制造的TFT的结构可能与前面实施例中公开的结构是相同的。由于基片应当传送激光,所以在使用KrF准分子激光(波长248纳米)的情况下采用石英基片。然而在使用波长为353纳米的XeF准分子激光或其它长波长激光时,仍可以使用玻璃基片(Corning    7059玻璃)。
开始用氢氟酸处理石英基片表面,接着在氧化气氛下将基片表面暴露在紫外光下20分钟。由于污染,石英基片表面有疏水趋向,为使其表面更加亲水并借此能更均匀地形成含催化剂的溶液,执行这些步骤是符合需要的。
在基片表面涂敷含有浓度为10ppm的镍的醋酸溶液,并保持5分钟,接着用旋涂机使其旋转干燥。此后,通过等离子CVD或低压热CVD形成厚度为500 的非晶硅膜。
然后,在550℃下加热处理1小时,使该硅膜部分结晶。借此在下表面上(硅膜同基片接触的表面)形成晶核。这些晶核在紧接着的激光晶化步骤中将起晶核的作用。通过这一步,将获得一种非晶组分和晶体组分混合的硅膜。
接着,为了得到结晶硅膜,用KrF准分子激光从基片侧面以200-300毫焦耳强度进行辐照。在激光辐照期间,用红外灯对基片在400℃下加热。通过这一步,晶体以晶核为核心进行生长。
用与实施例3中相同的方式处理这样形成的结晶硅膜来制造TFT。在本实施例中制造的TFT的电特性,同实施例3中获得的TFT几乎相同。
比较例:
通过用具有波长为1.2微米的红外光辐照形成晶核的步骤,代替在实施例3和4中加热处理形成晶核的步骤。作为光源可以使用卤素灯。红外光的强度应予控制以便作为监视器的单晶硅晶片的温度保持在900-1200℃范围内。更具体地说,在硅晶片中埋有热电偶,热电偶的输出被监视并反馈到光源。温度以每秒50-200℃的恒定速度上升。膜的冷却是以每秒20-100℃的速率自然进行。因为用这种红外光辐照,硅膜是被有选择地加热,所以对玻璃基片的损坏能够被抑制。
实施例6
首先参见图4A,在Corning 7059基片501上通过溅射形成一层2000
Figure 951029290_IMG19
厚的氧化硅基膜502。将该基片在高于其变形点的温度下按要求退火,接着该玻璃基片被以每分钟0.1-1.0℃的速率冷却到变形点
温度以下。借此,可以减小基片以后的加热(例如:热氧化,热退火)引起的收缩,因此将使掩膜对准工艺更加方便。这一步骤既可在基膜502形成前也可在形成后进行,甚至可以在形成前后都进行。在使用7059基片的情况下,可将该基片在620-660℃下加热1-4小时。随后以每分钟0.1-0.3℃的冷却速率冷却,当温度降到400-500℃时将其从加热炉中取出。
然后,通过等离子CVD形成一层500-1500 厚的本征(I型)非晶硅膜,例如,形成1000
Figure 951029290_IMG21
厚的膜。通过实施例1中描述的方法,在非晶硅膜表面上为其提供镍作为催化剂促进其晶化。然后为了将晶核引入硅膜表面,在氮气氛下(大气压)在550℃温度下对基片进行1小时热处理。进一步,通过辐照KrF准分子激光使膜结晶化。在晶化以后,硅膜被刻成具有10-1000平方微米尺寸的岛状区。因此,如图4A所示,形成岛状结晶硅膜503作为TFT的有源层。
参见图4B,该硅膜表面通过暴露在氧化气氛中发生氧化而形成一层氧化膜504。该氧化气氛含有70-90%的水蒸汽。该气氛的压力和温度分别为1个大气压和500-750℃,典型的为600℃。该气氛是通过氢气和氧气的放热反应产生的。反应中氢气/氧气的比率为1.5-1.9。硅膜暴露在这样形成的气氛中3-5小时。结果,形成具有500-1500
Figure 951029290_IMG22
厚度,例如1000
Figure 951029290_IMG23
厚度的氧化膜504。由于氧化作用硅膜表面被减少(吃去)50
Figure 951029290_IMG24
或更多,所以硅膜最上表面的污染影响不会延伸到硅和氧化硅界面上。换言之,通过氧化,可以获得一个干净的硅-氧化硅界面。因为氧化硅膜504的厚度是硅膜被氧化部分厚度的两倍,当原始硅膜是1000 厚并且获得的氧化硅膜是1000 时,那么氧化以后留下的硅膜厚度是500
一般来说,氧化硅膜(栅绝缘膜)和有源层越薄,迁移率越高并且截止电流越小。在另一方面,它的厚度较厚时,非晶硅膜的初步结晶更容易。况且,包含在结晶硅膜中的非晶组分或晶界在热氧化期间有被氧化的倾向,导致有源层中包含的重组合中心减少。因此,产率能够得到改善。
在通过热氧化形成氧化硅膜504以后,将基片置于100%的一氧化二氮气氛下在一个大气压和600℃温度下退火2小时。
参见图4C,通过低压CVD淀积一层含有0.01-0.2%磷的多晶硅,其厚度为3000-8000 ,例如6000 ,然后刻成栅电极505。进而,以栅电极505作为掩摸,通过离子掺杂(也称等离子掺杂)将N型杂质以自对准的方式加入有源层的部位。磷化氢被用作掺杂气体。加速电压是60-90千伏,例如80千伏。剂量是例如5×1015cm-2。由此形成N型杂质区域506和507。
此后,用KrF准分子激光(波长248纳米,脉宽20毫微秒)进行退火。激光辐照的能量密度是200-400毫焦耳/cm2,例如250mJ/cm2。激光照射的次数对一个位置是2-10次,例如2次。此外,在激光辐照期间,基片可在200-450℃下被加热。
激光退火可以用具有近红外线的灯照退火代替。由于结晶硅吸收近红外线的效率比非晶硅更高,因此,用近红外线的退火可与在1000℃或更高温度下的热退火相比拟。另一方面,由于玻璃基片不太吸收近红外线,所以可以防止玻璃基片被有损害加热。即,尽管玻璃基片能够吸收远红外线,但对波长为0.5-4微米的可见光或近红外线却不太吸收。
参照图4D,通过等离子CVD形成一层6000 厚的氧化硅层间绝缘膜508。也可以用聚酰亚胺代替氧化硅。此外,通过这一绝缘膜还形成若干接触孔。采用多层氮化钛和铝膜穿过接触孔形成电极/连线509和510。最后,在氢气中在350℃和1个大气压下退火30分钟。这样,制成TFT。
这样形成的TFT的迁移率是110-150cm2/vs。S值是0.2-0.5v/digit。通过在源和漏区掺入硼可以形成P沟道型TFT,其迁移率为90-120cm2/vs,S值为0.4-0.6v/digit。同用已知的PVD或CVD形成栅绝缘膜相比,按照本实施例,可使迁移率增加20%或更多,使S值减少20%或更多。
而且,按照本实施例完成的TFT的可靠性可与通过温度高达1000℃的热氧化制成的TFT相比拟。
实施例7
本实施例表示一个电光集成器件的例子,(典型地,一个液晶器件)它具有一个玻璃基片,上面集成安装有所有的显示器,CPU和存贮器。图6表示一个具有本发明的TFT的电光器件的方框图。
在图中,输入口从外部读出输入信号,并将其转换成显示信号。每一块板所特有的校正存贮器,按照有源矩阵板的特殊特征去校正输入信号或类似信号。为了对每一个象素进行校正,校正存贮器特别采用永久性存贮器,其中存贮有每一个象素的信息。即,若在电光器件中存在有缺陷的象素(点缺陷),为了消除或克服缺陷象素,把校正信号提供给有缺陷的象素的周围象素。再者,当有一个象素的亮度低于其它的亮度时,要施加到该象素上的信号将被校正成较大的信号,借此,使该象素的亮度变成同它周围的象素一样。象素具备一块液晶73和电容72以及TFT71。
这里的CPU和存贮器与通常的计算机中使用的是相同的。特别,存贮器使用RAM,其中存贮有同每个象素相对应的图象记录。它还具有响应图象信息改变基片背侧上背景光强度的功能。
尽管本发明的最佳实施例已经被说明,但应该了解,在本申请所附权利要求范围内本领域的普通技术人员仍可作出许多改进。例如:在实施例中,催化剂是施加到非晶硅膜的整个表面上的,但显然也可以仅把催化剂施加到半导体膜的被选定的区域上,从而在一块基片上形成一个高结晶度的TFT和一个低结晶度的TFT,或在一个TFT内形成一个高结晶度的区域和一个低结晶度的区域。

Claims (26)

1、一种制造具有结晶半导体层的半导体器件的方法,包括下述步骤:
形成包含硅的非单晶半导体层;
形成与所述半导体层相接触或在其内的晶核;和
从所述的晶核生长所述半导体层的晶体。
2、按权利要求1所述的方法,其中所述的非单晶半导体层是非晶的。
3、按权利要求1所述的方法,其中所述的晶核具有与硅晶体相当的晶体结构。
4、按权利要求1所述的方法,其中所述的晶核选自结晶硅和金属硅化物组成的组。
5、按权利要求1所述的方法,其中所述的晶核是在所述半导体层的表面上形成的。
6、按权利要求1所述的方法,其中所述的半导体层是在绝缘表面上形成的。
7、一种制造具有结晶半导体层的半导体器件的方法,包括下述步骤:
在绝缘表面上形成一层含硅的非单晶半导体层;
给所述半导体层提供一种催化剂,它能促进所述半导体层的晶化;
通过向具有所述催化剂的所述半导体层施加能量,在该层中形成晶核;和
通过用光辐照所述半导体层;由所述的晶核生长晶体。
8、按权利要求7所述的方法,其中所述的光是由激光器发出的。
9、按权利要求7所述的方法,其中所述的光是由灯发出的。
10、按权利要求7所述的方法,其中所述的非单晶半导体层是非晶的。
11、按权利要求7所述的方法,其中所述的催化剂包括金属或其硅化物,所述的金属选自由镍、钯、铂、铜、银、金、铟、锡、铅、砷、锑组成的组。
12、按权利要求7所述的方法,其中所述的催化剂施加在所述半导体层的上表面。
13、按权利要求12所述的方法,其中所述的晶核形成在所述半导体层的上表面或其邻近处。
14、按权利要求7所述的方法,其中所述的催化剂被施加在所述半导体层的下表面上。
15、按权利要求14所述的方法,其中所述的晶核是在所述半导体层的下表面或其邻近处形成的。
16、按权利要求7所述的方法,其中所述的催化剂是以1×1016-1×1019原子/cm3的浓度施加到所述的半导体层上的。
17、按权利要求7所述的方法,所述的催化剂至少包括从Ⅷ元素、Ⅲb族元素,Ⅳb族元素和Ⅴb族元素中选出的一种元素。
18、一种制造半导体器件的方法,包括以下步骤:
形成一层非晶硅膜;
在所述的非晶硅膜中掺入一种材料,所述材料与所述非晶硅膜相比具有较高的导热性;
以所述材料为晶核,使所述非晶硅膜生长成晶体。
19、一种制造半导体器件的方法,包括以下步骤:
形成一层非晶硅膜;
在所述非晶硅膜中设置一个区域,所述区域与所述非晶硅膜相比具有较高的导热性;
以所述区域作为晶核,使所述非晶硅膜生长成晶体。
20、一种制造半导体器件的方法,包括以下步骤:
在绝缘表面上形成一层非单晶硅膜;
将一种含有催化剂的溶液置于所述的硅膜上,以便将所述的催化剂引入所述的硅膜,所述的催化剂在所述的溶液中的浓度不大于10ppm;
加热所述的硅膜,以便通过所述催化剂的帮助形成晶核;
借助所述晶核使所述硅膜晶化。
21、按权利要求20所述的方法,其中所述的晶核具有与硅晶体相当的晶体结构。
22、按权利要求20所述的方法,其中所述的晶核选自结晶硅和金属硅化物。
23、一种制造半导体器件的方法,包括以下步骤:
在绝缘表面上形成一层非晶硅膜;
给所述的硅膜提供一种能够促进所述硅膜晶化的催化剂;
给具有所述催化剂的所述硅膜施加第一能量,以便使所述硅膜部分地结晶,其中结晶组分所占的面积与所述硅膜的整个面积之比是0.01-20%;
向所述硅膜施加第二种能量,以便提高结晶组分所占面积的比例。
24、按权利要求23所述的方法,其中所述的第一种能量是通过加热所述的硅膜来施加的。
25、按权利要求23所述的方法,其中所述的第二种能量是通过用激光辐照所述硅膜来施加的。
26、一种制造半导体器件的方法,包括以下步骤:
在绝缘表面上形成一层非单晶半导体膜,该膜含有0.01-10%的氢;
在所述半导体层中或在与其相接触的表面上形成晶核;
由所述晶核使所述半导体层生长成晶体。
CN95102929A 1994-02-03 1995-02-03 制造具有结晶半导体膜的半导体器件的方法 Expired - Fee Related CN1094652C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP03182494A JP3221473B2 (ja) 1994-02-03 1994-02-03 半導体装置の作製方法
JP31824/94 1994-02-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNB011429607A Division CN100378994C (zh) 1994-02-03 1995-02-03 一种半导体器件的制造方法

Publications (2)

Publication Number Publication Date
CN1113032A true CN1113032A (zh) 1995-12-06
CN1094652C CN1094652C (zh) 2002-11-20

Family

ID=12341838

Family Applications (2)

Application Number Title Priority Date Filing Date
CN95102929A Expired - Fee Related CN1094652C (zh) 1994-02-03 1995-02-03 制造具有结晶半导体膜的半导体器件的方法
CNB011429607A Expired - Fee Related CN100378994C (zh) 1994-02-03 1995-02-03 一种半导体器件的制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB011429607A Expired - Fee Related CN100378994C (zh) 1994-02-03 1995-02-03 一种半导体器件的制造方法

Country Status (5)

Country Link
US (3) US5904770A (zh)
JP (1) JP3221473B2 (zh)
KR (2) KR100273930B1 (zh)
CN (2) CN1094652C (zh)
TW (1) TW404045B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101527260B (zh) * 2007-12-03 2012-09-05 株式会社半导体能源研究所 结晶半导体膜的形成方法、晶体管及显示装置的制造方法
CN108933080A (zh) * 2018-07-25 2018-12-04 武汉华星光电技术有限公司 一种多晶硅薄膜和薄膜晶体管的制备方法
US10679851B2 (en) 2018-07-25 2020-06-09 Wuhan China Star Optoelectronics Technology Co., Ltd. Poly-silicon thin film and preparation method of thin film transistor

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW369686B (en) * 1993-07-27 1999-09-11 Semiconductor Energy Lab Corp Semiconductor device and process for fabricating the same
JP3221473B2 (ja) * 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100265179B1 (ko) * 1995-03-27 2000-09-15 야마자끼 순페이 반도체장치와 그의 제작방법
WO1997001863A1 (fr) * 1995-06-26 1997-01-16 Seiko Epson Corporation Procede de formation de film semi-conducteur cristallin, procede de production de transistor a couche mince, procede de production de cellules solaires et dispositif cristal liquide a matrice active
JP3729955B2 (ja) * 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH10199807A (ja) 1996-12-27 1998-07-31 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法
US6387803B2 (en) * 1997-01-29 2002-05-14 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP3973723B2 (ja) * 1997-02-12 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6569716B1 (en) * 1997-02-24 2003-05-27 Sanyo Electric Co., Ltd. Method of manufacturing a polycrystalline silicon film and thin film transistor using lamp and laser anneal
US6169013B1 (en) * 1997-03-07 2001-01-02 Sharp Laboratories Of America, Inc. Method of optimizing crystal grain size in polycrystalline silicon films
US6329270B1 (en) * 1997-03-07 2001-12-11 Sharp Laboratories Of America, Inc. Laser annealed microcrystalline film and method for same
JP3544280B2 (ja) 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6307214B1 (en) 1997-06-06 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and semiconductor device
US6501094B1 (en) 1997-06-11 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a bottom gate type thin film transistor
JP3974229B2 (ja) 1997-07-22 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH1140498A (ja) 1997-07-22 1999-02-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
KR100480552B1 (ko) * 1997-09-02 2005-05-16 삼성전자주식회사 실리콘막의결정화방법
JP4376979B2 (ja) * 1998-01-12 2009-12-02 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6821710B1 (en) * 1998-02-11 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6060392A (en) * 1998-02-11 2000-05-09 National Semiconductor Corporation Fabrication of silicides by excimer laser annealing of amorphous silicon
US6171740B1 (en) * 1998-06-25 2001-01-09 The Penn State Research Foundation Electrostatic printing of a metallic toner to produce a polycrystalline semiconductor from an amorphous semiconductor
JP2000058839A (ja) 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
KR100482461B1 (ko) * 1998-10-28 2005-09-02 비오이 하이디스 테크놀로지 주식회사 폴리실리콘-박막 트랜지스터의 제조방법
JP2000174282A (ja) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
JP3422290B2 (ja) 1999-07-22 2003-06-30 日本電気株式会社 半導体薄膜の製造方法
JP3432187B2 (ja) * 1999-09-22 2003-08-04 シャープ株式会社 半導体装置の製造方法
JP3715848B2 (ja) 1999-09-22 2005-11-16 シャープ株式会社 半導体装置の製造方法
JP4675467B2 (ja) * 1999-09-24 2011-04-20 東芝モバイルディスプレイ株式会社 半導体回路の製造方法
US7232742B1 (en) 1999-11-26 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes forming a material with a high tensile stress in contact with a semiconductor film to getter impurities from the semiconductor film
US7098084B2 (en) 2000-03-08 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6916693B2 (en) * 2000-03-08 2005-07-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6872607B2 (en) * 2000-03-21 2005-03-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP3592218B2 (ja) * 2000-09-06 2004-11-24 株式会社ヒューモラボラトリー 水晶薄膜の製造方法
JP2002124652A (ja) * 2000-10-16 2002-04-26 Seiko Epson Corp 半導体基板の製造方法、半導体基板、電気光学装置並びに電子機器
US6770518B2 (en) * 2001-01-29 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
SG114529A1 (en) * 2001-02-23 2005-09-28 Semiconductor Energy Lab Method of manufacturing a semiconductor device
SG143975A1 (en) * 2001-02-28 2008-07-29 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6649032B2 (en) * 2001-05-21 2003-11-18 Sharp Laboratories Of America, Inc. System and method for sputtering silicon films using hydrogen gas mixtures
JP4310076B2 (ja) * 2001-05-31 2009-08-05 キヤノン株式会社 結晶性薄膜の製造方法
TWI262561B (en) * 2001-06-12 2006-09-21 Promos Technologies Inc Method of forming ultra-shallow junction devices and its application in a memory device
JP4209606B2 (ja) * 2001-08-17 2009-01-14 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI282126B (en) * 2001-08-30 2007-06-01 Semiconductor Energy Lab Method for manufacturing semiconductor device
US7112517B2 (en) * 2001-09-10 2006-09-26 Semiconductor Energy Laboratory Co., Ltd. Laser treatment device, laser treatment method, and semiconductor device fabrication method
US7317205B2 (en) * 2001-09-10 2008-01-08 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing a semiconductor device
TWI291729B (en) * 2001-11-22 2007-12-21 Semiconductor Energy Lab A semiconductor fabricating apparatus
US7238597B2 (en) * 2002-09-27 2007-07-03 Brontek Delta Corporation Boron ion delivery system
US20040075119A1 (en) * 2002-10-08 2004-04-22 Sanjay Natarajan Forming polysilicon structures
US7348222B2 (en) * 2003-06-30 2008-03-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor and method for manufacturing a semiconductor device
US7358165B2 (en) * 2003-07-31 2008-04-15 Semiconductor Energy Laboratory Co., Ltd Semiconductor device and method for manufacturing semiconductor device
US7247527B2 (en) * 2003-07-31 2007-07-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device, and laser irradiation apparatus
JP2005159162A (ja) * 2003-11-27 2005-06-16 Toshiba Matsushita Display Technology Co Ltd 表示装置及びその製造方法
US7459379B2 (en) * 2004-03-26 2008-12-02 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7439594B2 (en) 2006-03-16 2008-10-21 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
US8008735B2 (en) 2006-03-20 2011-08-30 Semiconductor Energy Laboratory Co., Ltd. Micromachine device with a spatial portion formed within
JP4489750B2 (ja) * 2006-12-06 2010-06-23 株式会社半導体エネルギー研究所 シリコン膜の作製方法、半導体装置の作製方法及び光電変換装置の作製方法
US7754600B2 (en) 2007-03-01 2010-07-13 Hewlett-Packard Development Company, L.P. Methods of forming nanostructures on metal-silicide crystallites, and resulting structures and devices
WO2009148779A2 (en) 2008-05-29 2009-12-10 The Board Of Trustees Of The University Of Illinois Heavily doped metal oxides and methods for making the same
TWI384551B (zh) * 2008-10-24 2013-02-01 Ind Tech Res Inst 圖案化多晶氧化銦錫之方法
US7989325B2 (en) * 2009-01-13 2011-08-02 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing crystalline semiconductor film and method for manufacturing thin film transistor
WO2010104656A2 (en) * 2009-03-13 2010-09-16 The Board Trustees Ofthe University Of Illinois Rapid crystallization of heavily doped metal oxides and products produced thereby
KR101146993B1 (ko) 2010-06-03 2012-05-22 삼성모바일디스플레이주식회사 실리콘층의 결정화 방법 및 상기 결정화 방법을 이용한 박막 트랜지스터의 형성방법
JP5511557B2 (ja) * 2010-07-08 2014-06-04 セイコーインスツル株式会社 ガラス基板の製造方法及び電子部品の製造方法
JP2012019108A (ja) * 2010-07-08 2012-01-26 Seiko Instruments Inc ガラス基板の製造方法及び電子部品の製造方法
TWI464783B (zh) * 2011-11-21 2014-12-11 Univ Nat Taiwan 半導體奈米結構及其製作方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4727044A (en) * 1984-05-18 1988-02-23 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor with laser recrystallized source and drain
JPS6158879A (ja) 1984-08-29 1986-03-26 Nec Corp シリコン薄膜結晶の製造方法
JPH0614540B2 (ja) * 1984-09-04 1994-02-23 工業技術院長 半導体薄膜結晶層の製造方法
JPS63142807A (ja) 1986-12-05 1988-06-15 Nec Corp 半導体装置の製造方法
JPH02140915A (ja) 1988-11-22 1990-05-30 Seiko Epson Corp 半導体装置の製造方法
EP0390608B1 (en) 1989-03-31 1999-06-09 Canon Kabushiki Kaisha Method for forming semiconductor thin-film and resulting semiconductor thin-film
JPH02260524A (ja) 1989-03-31 1990-10-23 Canon Inc 結晶性半導体膜及びその形成方法
JPH02260521A (ja) 1989-03-31 1990-10-23 Canon Inc 多結晶Si半導体薄膜形成物品およびその作製方法
JP2708559B2 (ja) * 1989-08-10 1998-02-04 キヤノン株式会社 結晶性半導体膜の形成方法
JP2616996B2 (ja) 1989-08-18 1997-06-04 富士通株式会社 ディスクライブラリ装置
JPH0760807B2 (ja) 1990-03-29 1995-06-28 株式会社ジーティシー 半導体薄膜の製造方法
JP3178715B2 (ja) 1990-05-17 2001-06-25 セイコーエプソン株式会社 薄膜半導体装置の製造方法
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
CA2055400C (en) 1990-11-15 1998-08-04 Kenji Yamagata Method of forming crystal
JP2840434B2 (ja) 1990-11-15 1998-12-24 キヤノン株式会社 結晶の形成方法
JPH04352355A (ja) 1991-05-29 1992-12-07 Kyocera Corp 薄膜トランジスタの製造方法
JP2508948B2 (ja) 1991-06-21 1996-06-19 日本電気株式会社 半導体装置の製造方法
JPH0582442A (ja) * 1991-09-18 1993-04-02 Sony Corp 多結晶半導体薄膜の製造方法
GB9206086D0 (en) * 1992-03-20 1992-05-06 Philips Electronics Uk Ltd Manufacturing electronic devices comprising,e.g.tfts and mims
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
US5604360A (en) 1992-12-04 1997-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including a plurality of thin film transistors at least some of which have a crystalline silicon film crystal-grown substantially in parallel to the surface of a substrate for the transistor
EP1119053B1 (en) 1993-02-15 2011-11-02 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating TFT semiconductor device
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
US5624851A (en) 1993-03-12 1997-04-29 Semiconductor Energy Laboratory Co., Ltd. Process of fabricating a semiconductor device in which one portion of an amorphous silicon film is thermally crystallized and another portion is laser crystallized
JP3193803B2 (ja) 1993-03-12 2001-07-30 株式会社半導体エネルギー研究所 半導体素子の作製方法
CN1095204C (zh) 1993-03-12 2002-11-27 株式会社半导体能源研究所 半导体器件和晶体管
TW278219B (zh) 1993-03-12 1996-06-11 Handotai Energy Kenkyusho Kk
TW241377B (zh) 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US5501989A (en) 1993-03-22 1996-03-26 Semiconductor Energy Laboratory Co., Ltd. Method of making semiconductor device/circuit having at least partially crystallized semiconductor layer
US5481121A (en) 1993-05-26 1996-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
JP3450376B2 (ja) * 1993-06-12 2003-09-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5985704A (en) * 1993-07-27 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
TW369686B (en) 1993-07-27 1999-09-11 Semiconductor Energy Lab Corp Semiconductor device and process for fabricating the same
US5492843A (en) 1993-07-31 1996-02-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device and method of processing substrate
JP2975973B2 (ja) 1993-08-10 1999-11-10 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JP2762215B2 (ja) 1993-08-12 1998-06-04 株式会社半導体エネルギー研究所 薄膜トランジスタおよび半導体装置の作製方法
JP2814049B2 (ja) 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
TW264575B (zh) 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
JP3562590B2 (ja) 1993-12-01 2004-09-08 株式会社半導体エネルギー研究所 半導体装置作製方法
US5612250A (en) 1993-12-01 1997-03-18 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device using a catalyst
JP2860869B2 (ja) 1993-12-02 1999-02-24 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US5654203A (en) 1993-12-02 1997-08-05 Semiconductor Energy Laboratory, Co., Ltd. Method for manufacturing a thin film transistor using catalyst elements to promote crystallization
JP3076490B2 (ja) * 1993-12-20 2000-08-14 シャープ株式会社 半導体装置の製造方法
TW272319B (zh) 1993-12-20 1996-03-11 Sharp Kk
JP3221473B2 (ja) * 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3378078B2 (ja) 1994-02-23 2003-02-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH07335906A (ja) 1994-06-14 1995-12-22 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JP3190520B2 (ja) 1994-06-14 2001-07-23 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JP3072000B2 (ja) 1994-06-23 2000-07-31 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3942651B2 (ja) 1994-10-07 2007-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3486240B2 (ja) 1994-10-20 2004-01-13 株式会社半導体エネルギー研究所 半導体装置
US5587330A (en) * 1994-10-20 1996-12-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
TW447144B (en) 1995-03-27 2001-07-21 Semiconductor Energy Lab Semiconductor device and a method of manufacturing the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101527260B (zh) * 2007-12-03 2012-09-05 株式会社半导体能源研究所 结晶半导体膜的形成方法、晶体管及显示装置的制造方法
CN108933080A (zh) * 2018-07-25 2018-12-04 武汉华星光电技术有限公司 一种多晶硅薄膜和薄膜晶体管的制备方法
US10679851B2 (en) 2018-07-25 2020-06-09 Wuhan China Star Optoelectronics Technology Co., Ltd. Poly-silicon thin film and preparation method of thin film transistor

Also Published As

Publication number Publication date
JPH07221017A (ja) 1995-08-18
US6232156B1 (en) 2001-05-15
KR950034493A (ko) 1995-12-28
US6417031B2 (en) 2002-07-09
KR100273930B1 (ko) 2000-12-15
US20010023092A1 (en) 2001-09-20
CN1094652C (zh) 2002-11-20
KR100297318B1 (ko) 2001-11-15
TW404045B (en) 2000-09-01
CN1361551A (zh) 2002-07-31
CN100378994C (zh) 2008-04-02
JP3221473B2 (ja) 2001-10-22
US5904770A (en) 1999-05-18

Similar Documents

Publication Publication Date Title
CN1094652C (zh) 制造具有结晶半导体膜的半导体器件的方法
CN1160759C (zh) 半导体器件及其制造方法
CN1052572C (zh) 制造半导体器件的方法
CN1052565C (zh) 一种制造半导体器件的方法
CN1039464C (zh) 半导体器件及其制造方法
CN1054943C (zh) 制造半导体器件的方法
CN1091953C (zh) 半导体器件
CN1050221C (zh) 多结晶薄膜的形成方法和制造薄膜晶体管的方法
CN1051640C (zh) 半导体器件及其制造方法
JP3432187B2 (ja) 半導体装置の製造方法
CN1119790A (zh) 结晶硅膜、半导体器件及其制造方法
JP3545289B2 (ja) 半導体装置作製方法
JP3618604B2 (ja) 半導体装置作製方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20021120

Termination date: 20130203