CN109962054A - 具有在金属互连下形成绝缘层的结构的半导体装置 - Google Patents

具有在金属互连下形成绝缘层的结构的半导体装置 Download PDF

Info

Publication number
CN109962054A
CN109962054A CN201811215891.7A CN201811215891A CN109962054A CN 109962054 A CN109962054 A CN 109962054A CN 201811215891 A CN201811215891 A CN 201811215891A CN 109962054 A CN109962054 A CN 109962054A
Authority
CN
China
Prior art keywords
metal layer
insulation system
layer
semiconductor device
via plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811215891.7A
Other languages
English (en)
Inventor
崔珉准
权杜原
金宽植
宋泰荣
尹惺铉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109962054A publication Critical patent/CN109962054A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/02002Arrangements for conducting electric current to or from the device in operations
    • H01L31/02005Arrangements for conducting electric current to or from the device in operations for device characterised by at least one potential jump barrier or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

公开了一种具有在金属互连下形成绝缘层的结构的半导体装置。所述半导体装置包括形成在衬底上的通孔插塞和形成在通孔插塞的一端处的用于互连的金属层,其中绝缘结构位于用于互连的金属层下方,并且绝缘结构根据与用于互连的金属层的位置关系具有不同的分层结构。

Description

具有在金属互连下形成绝缘层的结构的半导体装置
相关申请的交叉引用
要求于2017年12月22日在韩国知识产权局(KIPO)提交的韩国专利申请No.10-2017-0178361的优先权和权益,其公开内容通过引用全部并入本文。
技术领域
本发明构思涉及一种半导体装置,其具有在金属互连下形成绝缘层的结构,该金属互连形成在通孔插塞结构上。
背景技术
目前本领域中使用了一种三维(3D)封装技术,其中多个半导体芯片安装在一个封装件(例如,多芯片堆叠封装件或系统级封装件)中。
垂直穿过衬底(例如裸片)的通孔插塞结构被应用于实现高密度、低功率和高速薄膜3D封装。
发明内容
一些示例实施例旨在提供一种半导体装置,该半导体装置具有在通孔插塞结构上的互连下形成绝缘层的结构,用于改善半导体装置的电特性和可靠性。
根据一些示例实施例的半导体装置包括:衬底上的通孔插塞;以及所述通孔插塞一端处的金属层,所述金属层连接到互连层。绝缘结构位于所述金属层下方,并且根据与所述金属层的位置关系,所述绝缘结构具有不同的分层结构。
根据一些示例实施例的半导体装置包括:半导体结构;通孔插塞,所述通孔插塞形成为至少部分地穿过所述半导体结构;以及所述通孔插塞一端处的金属层。与所述金属层的侧表面相邻的底表面根据与所述侧表面的距离而具有不同的深度。
根据一些示例实施例的半导体装置包括:其上形成光电二极管的衬底;所述衬底上的绝缘层;穿过所述衬底的至少一部分的通孔插塞;以及在所述通孔插塞上形成的金属层。包括一个或更多个分层结构的绝缘结构位于用于互连的所述金属层下方。
附图说明
通过参照附图详细描述本发明的示例实施例,示例实施例的上述和其他目的、特征和优点对于本领域普通技术人员将变得更加明显,其中:
图1是根据一些示例实施例的半导体装置的截面图;
图2是图1的A部分的放大截面图;
图3至图12、图19和图20是用于描述根据一些示例实施例的制造半导体装置的方法并根据工艺顺序示出的截面图;
图13是图12的A部分的放大截面图;
图14至图18是根据一些示例实施例的半导体装置中的对应于图12的A部分的放大截面图;以及
图21和图22是示出根据一些示例实施例的半导体装置的堆叠结构的截面图。
具体实施方式
图1是用于描述根据一些示例实施例的半导体装置100的截面图。
参照图1,根据一些示例实施例的半导体装置100可以包括半导体结构和通孔插塞130。通孔插塞130可以通过形成在半导体结构中的通孔131完全或部分地穿过半导体结构。通孔插塞130的上端和下端可以分别通过互连图案连接到连接端子170和182。通孔插塞130可以是穿过硅衬底110的穿硅通孔(TSV)。
根据一些示例实施例的半导体结构可以包括在衬底110的前表面110a上的单元器件121和接触122。下层间绝缘膜120可以在衬底110的前表面110a上。上层间绝缘膜150可以位于下层间绝缘膜120上。封装衬底180和再分布层181可以位于衬底110的后表面110c上。前表面110a可以是有源表面,后表面110c可以是无源表面。
衬底110可以包括半导体衬底,例如硅衬底。其上形成有单元器件121和接触122的衬底110的前表面110a可以被下层间绝缘膜120覆盖。下层间绝缘膜120可以包括氧化硅(SiO2)和/或硅氮化物(SiN),并且可以形成为单层或多层。
单元器件121可以通过前端工序(FEOL)工艺形成在衬底110的前表面110a上。单元器件121可以是单独的器件,并且可以包括金属氧化物半导体场效应晶体管(MOSFET)、系统大规模集成(LSI)器件、CMOS成像传感器(CIS)、微机电系统(MEMS)、有源器件、无源器件等。单元器件121可以通过覆盖单元器件121的下层间绝缘膜120彼此电隔离。
接触122可以垂直地穿过下层间绝缘膜120,以将电信号传输到下层间绝缘膜120的上部和下部结构。接触122可以包括钨(W)、铝(Al)和/或或铜(Cu)。
蚀刻停止层141(参照图3)可以形成在下层间绝缘膜120的表面(例如,上表面)上。蚀刻停止层141可以是或包括由化学气相沉积(CVD)工艺形成的氮化硅(SiN)膜、氧氮化硅(SiON)膜、氧化硅(SiO2)膜等。蚀刻停止层141可以作为单层或与另一层一起形成绝缘结构140。
通孔131可以是通过完全或部分蚀刻蚀刻停止层141、下层间绝缘膜120和衬底110而形成的沟槽。通孔131可以通过在蚀刻停止层141上应用光刻胶(PR)膜、使用掩模图案曝光PR膜,然后根据光刻胶的形状蚀刻通孔131而形成。可以使用各向异性蚀刻工艺(例如,博世工艺或激光钻孔技术)形成通孔131。
缓冲部分132和导电阻挡膜133可以顺序地形成在通孔131的内表面上。通孔131的剩余空间可以通过电镀和/或其他沉积方法填充金属,以在导电阻挡膜133上形成通孔电极134。可以通过化学机械抛光(CMP)工艺对通孔电极134和蚀刻停止层141的上表面的一部分进行抛光。可以在抛光的表面上形成附加绝缘层以形成绝缘结构140。
上层间绝缘膜150可以形成在绝缘结构140上,并且互连图案可以通过后段制程(BEOL)工艺形成。
上层间绝缘膜150可以包括氧化硅(SiO2)或氮化硅(SiN),并且可以形成为单层或多层。互连图案可以形成为多个互连结构,所述多个互连结构用于将通过FEOL工艺形成的单元器件121连接到衬底110上的其他互连。例如,互连结构可以包括用于互连的金属层153、接触插塞154和金属互连层155。
用于互连的金属层153、接触插塞154和金属互连层155中的每一个可以包括选自钨(W)、铝(Al)和铜(Cu)中的至少一种金属。
用于互连的金属层153可以通过用金属电镀互连孔151来形成。可以通过蚀刻绝缘结构140、在绝缘结构140的下端处的接触122及通孔插塞130的一部分来形成互连孔151。
上绝缘膜160可以形成在上层间绝缘膜150的上端。上绝缘膜160可以是包括氮化硅(SiN)和/或聚酰亚胺的钝化层。上连接端子170可以在上绝缘膜160上,该上连接端子170通过连接孔161连接到互连图案的最上端处的前焊盘156。
可以使用CMP工艺、回蚀工艺或其组合从衬底110的后表面110b(参照图19)移除通孔插塞130的下端的一部分。
包括再分布层181的封装衬底180可以连接到衬底110的后表面110c,其通过CMP工艺暴露。通孔插塞130的下端的暴露表面可以通过再分布层181连接到连接端子182。根据示例实施例的半导体装置100不限于上面参照图1描述的配置。
在根据一些示例实施例的制造半导体装置100的工艺中,下面将描述从FEOL工艺之后到形成通孔插塞130的工艺的工艺。
在衬底110的前表面110a上形成FEOL结构之后,下层间绝缘膜120可以在衬底110的前表面110a上。下层间绝缘膜120可以包括氧化硅(SiO2)。蚀刻停止层141可以形成在下层间绝缘膜120的表面上。蚀刻停止层141可以是包括氮化硅(SiN)、氮氧化硅(SiON)、碳化硅(SiC)、硅碳氮化物(SiCN)等的绝缘层。
在形成蚀刻停止层141之后,可以对蚀刻停止层141、下层间绝缘膜120和衬底110的一部分进行蚀刻以穿过其形成沟槽形通孔131。可以在通孔131的内侧形成(例如共形地形成)缓冲部分132和导电阻挡膜133。通孔131的剩余空间可以填充有金属膜,以在导电阻挡膜133上形成通孔电极134。可以形成包括通孔电极134、导电阻挡膜133和缓冲部分132的通孔插塞130。
缓冲部分132可以用作减轻压力(例如,由于通孔电极134的热膨胀等导致的热应力)的缓冲器。缓冲部分132可以包括诸如氧化硅(SiO2)和氮化硅(SiN)的绝缘材料。例如,缓冲部分132可以由氧化物膜、氮化物膜、碳化物膜、聚合物或其组合形成。缓冲部分132可以通过CVD工艺形成。
导电阻挡膜133可以防止包含在通孔电极134中的金属扩散到衬底110中。导电阻挡膜133可以形成为包括相对低的互连电阻的导电层。例如,导电阻挡膜133可以包括钛(Ti)、氮化钛(TiN)、钛钨(TiW)、钽(Ta)、氮化钽(TaN)、钨(W)、氮化钨(WN)、碳化钨(WC)、钌(Ru)、钴(Co)、锰(Mn)、镍(Ni)等。导电阻挡膜133可以形成为单层或多层。导电阻挡膜133可以通过物理气相沉积(PVD)工艺、化学气相沉积(CVD)工艺和/或原子层沉积(ALD)工艺来形成。
构成通孔电极134的金属膜可以通过电镀诸如银(Ag)、金(Au)、铜(Cu)、铝(Al)、钨(W)、铟(In)等的金属来形成。例如,金属膜可以由铜(Cu)、铜基合金(Cu-Sn、Cu-Mg、Cu-Ni、Cu-Zn、Cu-Pd、Cu-Au、Cu-Re或Cu-W)、钨(W)或钨基合金制成。
尽管未示出,但是可以在导电阻挡膜133上形成金属种子层。可以通过电镀从金属种子层生长金属膜,以填充通孔131。金属种子层可以由铜(Cu)、铜基合金(Cu-Co或Cu-Ru)、钴(Co)、镍(Ni)或钌(Ru)制成。
图2是图1的A部分的放大截面图。参照图2,绝缘结构140可以具有在金属层153周围具有可变厚度或可变层数的部分。根据金属层153的形状和/或与侧面(例如,金属层153的外周侧表面)的位置关系,绝缘结构140可以形成为具有不同的层数和/或不同的厚度。
图3至图18是用于描述根据一些示例实施例的制造半导体装置100的方法并且根据工艺顺序示出的截面图。将参照图3至图18描述在半导体装置100中形成通孔插塞130之后的工艺顺序。
参照图3,其上形成有通孔插塞130的半导体结构可以通过CMP工艺抛光。可以通过CMP工艺暴露蚀刻停止层141和通孔电极134的上端。如上所示,由于蚀刻停止层141和通孔电极134之间的材料差异,在完成蚀刻之后的通孔电极134的上端可以突出到蚀刻停止层141的上端之上。
在CMP工艺中,蚀刻停止层141可以用作蚀刻阻挡层,并且可以具有相对低的蚀刻率,例如低于其他薄膜的蚀刻率。蚀刻停止层141可以是这样的绝缘层,所述绝缘层由通过CVD工艺形成的氮化硅(SiN)膜、氮氧化硅(SiON)膜、碳化硅(SiC)膜、碳氮化硅(SiCN)膜、氧化硅(SiO2)膜等制成。由于稍后通过回蚀工艺去除用作蚀刻停止层141的绝缘层,因此可以通过使用用于绝缘层的低成本材料来降低成本。例如,包括的介电常数(k)大于氧化物基材料的介电常数(k)的氮化硅(SiN)膜可以用作蚀刻停止层141。蚀刻停止层141和通孔插塞130可以通过以下方式暴露:清洗通过CMP工艺形成的残留物。
图4至图8是根据一些示例实施例的形成绝缘结构140的工艺的截面图。
参照图4,可以在暴露的蚀刻停止层141和通孔插塞130的上表面上形成附加的绝缘层142。在暴露的蚀刻停止层141的上表面上形成的绝缘层被称为第一绝缘层142。可以在第一绝缘层142上顺序地形成第二绝缘层143(参照图8)。绝缘结构140可以包括蚀刻停止层141和附加绝缘层142和143。
可以通过CVD和/或PVD方法沉积碳氮化硅(SiCN)膜、氮氧化硅(SiON)膜等来形成第一绝缘层142。如上所示,即使在CMP工艺之后,作为金属膜的通孔电极134的上端也可以比蚀刻停止层141突出得更多。第一绝缘层142也可以形成在通孔电极134上方比上层间绝缘膜120上方的位置更高的位置处。
参照图5,保护膜190可以在第一绝缘层142上。保护膜190可以是光刻胶。可以通过在第一绝缘层142的上端上应用PR膜然后执行选择性曝光工艺和显影工艺来形成光刻胶。如上所述,保护膜190可以形成为覆盖通孔插塞130。保护膜190可以形成为覆盖通孔插塞130的上端及其周边。
参照图6,可以对其上具有保护膜190的半导体结构执行回蚀工艺。回蚀工艺可以以干燥方式进行。例如,可以使用利用溅射的溅射蚀刻、反应离子蚀刻(RIE)、气相蚀刻等。
可以通过回蚀工艺去除其上不存在保护膜190的第一绝缘层142和蚀刻停止层141。存在于保护膜190下方的第一绝缘层142和蚀刻停止层141可以保留而不被去除。因此,在其中不存在保护膜190的绝缘结构140的一部分与其中存在保护膜190的绝缘结构140的一部分之间可存在高度差。参照图7,在回蚀工艺之后,可以去除保护膜190。当保护膜190是光刻胶时,可以通过灰化(例如,氧气灰化)工艺将光刻胶与半导体结构的上表面分离。在灰化工艺之后,可以对半导体结构的上表面(即,剩余的绝缘结构140的上表面和暴露的下层间绝缘膜120和接触122的上表面上)执行清洁操作。尽管没有示出,可以在不使用保护膜190的情况下部分地去除绝缘结构140,以形成如图7所示的绝缘结构140的形状。
参照图8,第二绝缘层143可以形成为半导体结构的上表面上的附加绝缘层,其中,保护膜190从该上表面被去除。第二绝缘层143可以是通过CVD或PVD方法沉积的碳氮化硅(SiCN)膜、氮氧化硅(SiON)膜等。可以进一步沉积第二绝缘层143以形成包括蚀刻停止层141、第一绝缘层142和第二绝缘层143的绝缘结构140。
根据与通孔插塞130的位置关系,绝缘结构140可以具有不同的分层结构。如上所述,绝缘结构140可以包括位于通孔插塞130的上端的第一绝缘层142和第二绝缘层143。绝缘结构140可以在未形成通孔插塞130的部分中仅包括第二绝缘层143。绝缘结构140可以包括在通孔插塞130附近的蚀刻停止层141、第一绝缘层142和第二绝缘层143中的所有。
例如,通孔插塞130的上端处的绝缘结构140可以形成为比没有形成通孔插塞130的部分的上端处的绝缘结构140厚。此外,形成在通孔插塞130附近的绝缘结构140可以比形成在通孔插塞130的上端处的绝缘结构140厚。通孔插塞130的上端处的绝缘结构140可以形成在比其他部分中的绝缘结构140更高的位置处。
参照图9,上层间绝缘膜150可以形成在绝缘结构140上。上层间绝缘膜150可以形成诸如互连图案等的BEOL结构。上层间绝缘膜150可以是与下层间绝缘膜120类似的碳氮化硅(SiCN)膜。上层间绝缘膜150可以通过使用碳氮化硅(SiCN)薄膜形成为包括低介电常数(低k)的层间绝缘膜。在这种情况下,通过与包括铜(Cu)的互连图案组合,可以减小由于互连电阻或寄生电容引起的信号传输速度的延迟。
参照图10,可以图案化上层间绝缘膜150以形成暴露通孔插塞130的上表面的互连孔151。当形成互连孔151时,绝缘结构140可以用作蚀刻阻挡层。互连孔151可以具有沟槽形状。
互连孔151可以根据绝缘结构140的厚度而具有不同的蚀刻深度。如上所示,互连孔151可以通过图案化形成在接触122上。在形成互连孔151之前,接触122上的绝缘结构140可以仅包括第二绝缘层143。形成在接触122上的互连孔151可以由第二绝缘层143和被蚀刻到其上的下层间绝缘膜120的一部分形成。
当绝缘结构140除了第二绝缘层143之外还包括第一绝缘层142和蚀刻停止层141时,包括具有不同蚀刻深度的台阶的多层结构的互连孔151可以由回蚀工艺形成。
再次参照图9,通孔插塞130上的绝缘结构140可以包括第一绝缘层142和第二绝缘层143。如图10所示,在对应于通孔插塞130的上部的位置处,互连孔151可以由第二绝缘层143、第一绝缘层142和蚀刻到其上的通孔电极134的上端形成。通过蚀刻形成的互连孔151中的通孔电极134的暴露的上端可以成为互连孔151的第一下表面151a(参照图13)。
包括蚀刻停止层141、第一绝缘层142和第二绝缘层143的绝缘结构140可以形成在通孔插塞130的上表面附近。在绝缘结构140包括蚀刻停止层141的情况下,当执行用于形成互连孔151的回蚀工艺时,可以形成包括相对浅的蚀刻深度的第二下表面151b。当执行用于形成互连孔151的回蚀工艺时,可以形成侧表面151c。根据蚀刻停止层141的位置和/或厚度,互连孔151的下表面151a和151b可以形成为具有台阶(例如,对应于侧表面151c的台阶)的多层结构。第一下表面151a和第二下表面151b之间的蚀刻深度的差异可以根据要被蚀刻的材料的蚀刻选择性而变化。
在其中形成互连孔151的半导体结构中,形成在通孔插塞130附近的绝缘结构140可以比形成在不存在通孔插塞130的部分中的绝缘结构140厚。也就是说,由于在回蚀工艺之后保留蚀刻停止层141和第一绝缘层142,所以通孔插塞130附近的绝缘结构140可以形成为比另一部分中的绝缘结构140厚。
参照图11,互连阻挡膜152可以形成在互连孔151内。互连阻挡膜152可以包括钛(Ti)、氮化钛(TiN)、钛钨(TiW)、钽(Ta)、氮化钽(TaN)、钨(W)、氮化钨(WN)、碳化钨(WC)、钌(Ru)、钴(Co)、锰(Mn)、镍(Ni)等。互连阻挡膜152可以通过PVD工艺形成;然而,发明构思不限于此。
参照图12,用于互连的金属层153可以通过在形成有互连阻挡膜152的互连孔151中电镀金属膜来形成。
金属膜可以通过电镀诸如银(Ag)、金(Au)、铜(Cu)、铝(Al)、钨(W)、铟(In)等的金属来形成。例如,金属膜可以由铜(Cu)、铜基合金(Cu-Sn、Cu-Mg、Cu-Ni、Cu-Zn、Cu-Pd、Cu-Au、Cu-Re或Cu-W)、钨(W)或钨基合金制成。
尽管未示出,但是可以在互连阻挡膜152上形成金属种子层。可以从金属种子层生长用于形成用于互连的金属层153的金属膜。用于互连的金属层153可以具有第一下表面和第二下表面,该第一下表面和第二下表面对应于互连孔151的形状并且包括台阶。
绝缘结构140可以位于用于互连的金属层153的第二下表面的下部和侧部。如上所述,绝缘结构140可以不在用于形成在接触122上的互连的金属层153的外周表面下方。相反,绝缘结构140可以位于外周表面的下部和侧部上。此外,在通孔插塞130的外周表面下方和周围的绝缘结构140可以不具有恒定的厚度并且可以具有台阶。
图13是图12的A部分的放大截面图。
参照图13,在回蚀工艺之后保留而未被去除的蚀刻停止层141和第一绝缘层142可以位于金属层153的外周表面的侧部上或第二下表面之下。蚀刻停止层141可以具有比第一绝缘层142和第二绝缘层143更高的抗蚀刻性。当蚀刻停止层141具有相对高的抗蚀刻性时,互连孔151的第一下表面151a可以被蚀刻成比第二下表面151b更深。通孔插塞130可以在第一下表面151a下方。绝缘结构140可以在第二下表面151b下方,第二下表面151b包括比第一下表面151a或没有形成通孔插塞130的部分浅的蚀刻深度。根据用于互连的金属层153的第一下表面和第二下表面之间的台阶,绝缘结构140可以具有不同的厚度。绝缘结构140可以形成为用于互连的金属层153的外周侧表面的内侧上的一层,并且可以在其外周表面的外侧上形成为三层。
如上所示,用于互连的金属层153的第二下表面可以由蚀刻到其上的蚀刻停止层141的一部分形成。用于互连的金属层153的第二下表面下方的绝缘结构140的厚度可以小于蚀刻停止层141的厚度。也就是说,用于互连的金属层153的第二下表面可以对应于蚀刻蚀刻停止层141的上表面的一部分的位置。
包括保留而不被蚀刻的蚀刻停止层141、第一绝缘层142和第二绝缘层143的绝缘结构140可以存在于用于互连的金属层153的侧部。用于互连的金属层153的侧部可以是与通孔插塞130的外周表面的外侧对应的位置。用于互连的金属层153的侧部上的绝缘结构140的厚度可以等于蚀刻停止层141、第一绝缘层142和第二绝缘层143的厚度之和。
图14至图18是根据一些示例实施例的半导体装置中对应于图12的A部分的放大截面图。
参照图14和图15,互连孔151可以根据蚀刻选择性形成为具有不同的深度。如图14所示,绝缘结构140可以形成为在用于互连的金属层153的外周表面的内侧上的两层(诸如蚀刻停止层141和绝缘层142),并且可以形成为在其外周表面的外侧的的两层(诸如绝缘层142和第二绝缘层143)。如图15所示,绝缘结构140可以在用于互连的金属层153的外周表面的内侧和外侧上具有不同数量的层。例如,在金属层153的外周表面内,绝缘结构140可以包括蚀刻停止层141、绝缘层142和第二绝缘层143。在接触122上形成的第二金属层153的外周表面的外侧,绝缘结构140可以包括第二绝缘层143。另外或可选地,用于互连的金属层153的外周表面的外侧上的绝缘结构140可以形成为比用于互连的金属层153的外周表面内侧上的绝缘结构140更厚。
参照图16,绝缘结构140可以具有两个分层结构。例如,绝缘结构140可以仅包括蚀刻停止层141和第二绝缘层143。如实施例中所示,绝缘结构140可以形成为用于互连的金属层153的外周表面的内侧上的一层,并且可以形成为在用于互连的金属层153的外周表面的外侧上的两层。
参照图17,除了在通孔插塞130上用于互连的金属层153之外,一些示例实施例可以应用于形成在接触122上的用于互连的金属层153。
参照图18,互连孔151的下端可以形成为倾斜表面。例如,几乎垂直的外周表面的下端可以是相对于互连孔151的底表面倾斜的弯曲表面,或者是包括台阶的弯曲表面。根据与用于互连的金属层153的底表面的边缘的位置关系,绝缘结构140可以具有不同的分层结构和厚度。在所示实施例中,绝缘结构140可以在与用于互连的金属层153的底表面的边缘相邻的位置处形成为一层。绝缘结构140可以在远离用于互连的金属层153的底表面的边缘的位置处形成为两层或更多层。例如,形成在用于互连的金属层153的外周表面的外侧上的绝缘结构140可以形成为三层。
参照图19,互连结构可以通过BEOL操作形成。包括与用于互连的金属层153相同的堆叠结构的接触插塞154可以通过与形成用于互连的金属层153的方法类似的方法形成在用于互连的金属层153上。金属互连层155可以以与用于互连的金属层153相同的方式形成在接触插塞154上,并且接触插塞154可以在其上再次形成。金属互连层155和接触插塞154可以交替地形成以形成互连结构,其中用于互连的金属层153、多个金属互连层155和多个接触插塞154交替地连接。
互连结构可以在上层间绝缘膜150的水平方向上发送电信号。互连结构可以包括诸如掺杂硅、金属、金属硅化物、金属合金和/或金属化合物的导体。互连结构可包括前焊盘。前焊盘可包括诸如铜(Cu)、铝(Al)或钨(W)的金属。
可以是钝化膜的上绝缘膜160可以形成在围绕互连结构的上层间绝缘膜150上。上绝缘膜160可以由氧化硅(SiO2)膜、氮化硅(SiN)膜、聚合物或它们的组合制成。暴露连接到互连结构的前焊盘156的连接孔161可以形成在上绝缘膜160上。前焊盘156可以将最上部的互连结构的一部分电连接到上连接端子170。例如,前焊盘156可以通过连接孔161连接到上连接端子170。
参照图20,可以通过CMP工艺抛光衬底110的后表面110c和通孔插塞130的下端的一部分,使得通孔插塞130的下端暴露。所示的衬底110的后表面110c可以是通过CMP工艺新形成的后表面。连接到通孔插塞130的下端的下连接端子183可以形成在衬底110的后表面110c上。
上连接端子170和下连接端子183不限于所示的形状,并且可以具有焊球、焊料凸块、再分布结构或前焊盘的形状。在一个实施例中,可以省略上连接端子170和下连接端子183中的至少一个。
图21和图22是示出根据一些示例实施例的半导体装置100的堆叠结构的截面图。
根据一些示例实施例的半导体装置100可以形成为多芯片堆叠封装件。
参照图21,半导体封装件可以是多层器件,并且可以通过以晶片上芯片(COW)方式堆叠各个半导体器件S1、S2和S3来形成。如上所述,半导体封装件可以包括上部器件S1、中间器件S2和下部器件S3。例如,上部器件S1可以包括图像传感器,中间器件S2可以包括诸如微处理器的逻辑器件,下部器件S3可以包括诸如动态随机存取存储器(DRAM)的存储器件。上部器件S1和中间器件S2以及中间器件S2和下部器件S3可以分别通过通孔插塞12和23电连接。
上部器件S1可以包括形成在上衬底11上的光电二极管13、滤色器14、微透镜15、通孔插塞12和I/O焊盘16。中间器件S2可以包括中间衬底21、互连结构22、通孔插塞23、再分布结构24和绝缘结构25。下部器件S3可包括DRAM芯片31、再分布结构32、虚设结构34和/或芯片形式的模制部分35。
上部器件S1和中间器件S2可以通过通孔插塞12电连接。通孔插塞12可以是后侧通孔堆叠(BVS)。可以通过使用焊料凸块33以COW方式接合相应的再分布结构24和32来实现中间器件S2和下部器件S3的电连接。一些示例实施例的绝缘结构25可以应用于形成在通孔插塞23的一端的再分布结构24上的用于互连的金属层。此外,一些示例实施例的绝缘结构28也可以应用在衬底21上中间器件S2的层间绝缘膜和衬底21之间的位置处的用于互连的金属层27。此外,尽管未示出,但是一些示例实施例也可以应用于通孔插塞12的一端。
如上所示,在通过COW方式堆叠各个器件S1、S2和S3的情况下,仅当堆叠上部器件S1和中间器件S2的结构被确定为优质产品时,才可以在芯片形式的下部器件S3上堆叠,因此可以提高产量。
参照图22,半导体封装件可以是多层器件,并且可以通过以晶片上晶片(WOW)方式堆叠各个器件S1'、S2'和S3'来形成。如上所述,半导体封装件可以包括上部器件S1'、中间器件S2'和下部器件S3'。例如,上部器件S1'可以包括图像传感器,中间器件S2'可以包括诸如DRAM的存储器件,下部器件S3'可以包括诸如微处理器的逻辑器件。上部器件S1'和中间器件S2'以及中间器件S2'和下部器件S3'可以分别通过通孔插塞12和23电连接。
上部器件S1'可以包括形成在上衬底11上的光电二极管13、滤色器14、微透镜15、通孔插塞12以及I/O焊盘16。中间器件S2'可以包括中间衬底21、互连层26、通孔插塞23、用于互连的金属层29以及绝缘结构25。下部器件S3'可以包括下衬底31和互连层36。
上部器件S1'和中间器件S2'可以通过通孔插塞12电连接,并且中间器件S2'和下部器件S3'可以通过通孔插塞23电连接。通孔插塞12和23中的每个可以是TSV。一些示例实施例的绝缘结构25可以应用在用于在通孔插塞12和23的端部处形成的互连的金属层29。
根据一些示例实施例,通过提供通孔插塞结构和互连的下部结构,可以减少半导体器件的缺陷,从而改善电特性和可靠性。
尽管已经参照附图描述了一些示例实施例,但是本领域技术人员应该理解,在不脱离示例实施例的范围且不改变其必要特征的情况下,可以进行各种修改。因此,上述实施例应仅被认为是描述性的,而不是为了限制的目的。

Claims (20)

1.一种半导体装置,包括:
衬底上的通孔插塞;以及
所述通孔插塞一端处的金属层,所述金属层连接到互连层,
其中,绝缘结构位于所述金属层下方,并且
所述绝缘结构根据与所述金属层的位置关系而具有不同的分层结构。
2.根据权利要求1所述的半导体装置,其中,所述绝缘结构根据与所述金属层的外周的距离而具有不同的厚度。
3.根据权利要求1所述的半导体装置,其中,所述绝缘结构根据与所述金属层的外周的距离而包括不同数量的层。
4.根据权利要求3所述的半导体装置,其中,所述绝缘结构包括蚀刻停止层和一个或更多个绝缘层中的至少一种。
5.根据权利要求3所述的半导体装置,其中,所述绝缘结构包括数量随着与所述金属层的所述外周的距离增大而增多的层。
6.根据权利要求3所述的半导体装置,其中,所述绝缘结构包括数量随着与所述金属层的所述外周的距离增大而减少的层。
7.根据权利要求3所述的半导体装置,其中,所述绝缘结构在与所述金属层的外周间隔开的位置处包括数量比在与所述金属层的所述外周相邻的位置处更少的层。
8.根据权利要求3所述的半导体装置,其中,所述绝缘结构包括所述金属层下方的蚀刻停止层。
9.一种半导体装置,包括:
半导体结构;
通孔插塞,所述通孔插塞形成为至少部分地穿过所述半导体结构;以及
所述通孔插塞一端处的金属层,
其中,与所述金属层的侧表面相邻的底表面根据与所述侧表面的距离而具有不同深度。
10.根据权利要求9所述的半导体装置,其中,所述金属层从所述金属层的所述侧表面向下倾斜。
11.根据权利要求9所述的半导体装置,其中,所述金属层从所述金属层的所述侧表面的内侧阶梯向下。
12.根据权利要求11所述的半导体装置,其中,所述金属层包括:
第一下表面,所述第一下表面从所述金属层的所述侧表面向内延伸;以及
第二下表面,所述第二下表面包括从所述第一下表面向下的台阶。
13.根据权利要求12所述的半导体装置,其中,绝缘结构位于所述金属层的所述第一下表面下方。
14.根据权利要求13所述的半导体装置,其中,所述绝缘结构在所述金属层的所述侧表面外侧的层数大于所述绝缘结构在所述第一下表面下方的层数。
15.根据权利要求13所述的半导体装置,其中,所述绝缘结构在与所述金属层间隔开的位置处的层数小于所述绝缘结构在所述第一下表面下方的层数。
16.根据权利要求13所述的半导体装置,其中,所述绝缘结构在所述第一下表面下方的层数小于所述绝缘结构在所述金属层的所述侧表面的外侧的层数,并且大于所述绝缘结构在与所述金属层间隔开的位置处的层数。
17.一种半导体装置,包括:
其上形成有光电二极管的衬底;
所述衬底上的绝缘层;
穿过所述衬底的至少一部分的通孔插塞;以及
在所述通孔插塞上形成的金属层,
其中,包括一个或更多个分层结构的绝缘结构位于所述金属层下方。
18.根据权利要求17所述的半导体装置,其中,所述绝缘结构包括蚀刻停止层和一个或更多个绝缘层中的至少一种。
19.根据权利要求17所述的半导体装置,其中,所述绝缘结构根据与所述金属层的侧表面的距离而包括不同数量的层。
20.根据权利要求17所述的半导体装置,其中,所述金属层包括:
第一下表面,所述第一下表面从所述金属层的侧表面向内延伸;以及
第二下表面,所述第二下表面包括从所述第一下表面向下的台阶。
CN201811215891.7A 2017-12-22 2018-10-18 具有在金属互连下形成绝缘层的结构的半导体装置 Pending CN109962054A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0178361 2017-12-22
KR1020170178361A KR102450580B1 (ko) 2017-12-22 2017-12-22 금속 배선 하부의 절연층 구조를 갖는 반도체 장치

Publications (1)

Publication Number Publication Date
CN109962054A true CN109962054A (zh) 2019-07-02

Family

ID=66951427

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811215891.7A Pending CN109962054A (zh) 2017-12-22 2018-10-18 具有在金属互连下形成绝缘层的结构的半导体装置

Country Status (3)

Country Link
US (1) US10643926B2 (zh)
KR (1) KR102450580B1 (zh)
CN (1) CN109962054A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289402B2 (en) * 2019-02-22 2022-03-29 Samsung Electronics Co., Ltd. Semiconductor device including TSV and method of manufacturing the same
JP2020155591A (ja) * 2019-03-20 2020-09-24 株式会社東芝 半導体装置
US11094613B2 (en) * 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
KR20210039744A (ko) * 2019-10-02 2021-04-12 삼성전자주식회사 두꺼운 금속층을 갖는 반도체 소자들
US11282769B2 (en) * 2020-06-11 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oversized via as through-substrate-via (TSV) stop layer
KR20220009024A (ko) * 2020-07-15 2022-01-24 삼성전자주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541863B1 (en) * 2000-01-05 2003-04-01 Advanced Micro Devices, Inc. Semiconductor device having a reduced signal processing time and a method of fabricating the same
KR100338780B1 (ko) 2000-09-15 2002-06-01 윤종용 층간절연막의 손상을 방지할 수 있는 반도체 메모리 소자및 그 제조방법
JP2003031719A (ja) * 2001-07-16 2003-01-31 Shinko Electric Ind Co Ltd 半導体パッケージ及びその製造方法並びに半導体装置
KR20040063299A (ko) * 2003-01-06 2004-07-14 삼성전자주식회사 이중 다마신 공정을 사용하여 비아 콘택 구조체를형성하는 방법
JP2005045203A (ja) * 2003-07-10 2005-02-17 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
KR20050033110A (ko) 2003-10-04 2005-04-12 삼성전자주식회사 반도체 소자의 금속배선 형성방법
JP5204370B2 (ja) * 2005-03-17 2013-06-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5305599B2 (ja) * 2007-02-19 2013-10-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7939941B2 (en) 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US7977962B2 (en) 2008-07-15 2011-07-12 Micron Technology, Inc. Apparatus and methods for through substrate via test
US10026720B2 (en) 2015-05-20 2018-07-17 Broadpak Corporation Semiconductor structure and a method of making thereof
JP5554951B2 (ja) * 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8624360B2 (en) 2008-11-13 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling channels in 3DIC stacks
WO2011049710A2 (en) 2009-10-23 2011-04-28 Rambus Inc. Stacked semiconductor device
US9564339B2 (en) 2010-03-29 2017-02-07 Pibond Oy Etch resistant alumina based coatings
JP5487469B2 (ja) * 2010-03-29 2014-05-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
PT2567257T (pt) 2010-05-06 2021-07-20 Immunolight Llc Composição de ligação adesiva e método de utilização
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US9064712B2 (en) 2010-08-12 2015-06-23 Freescale Semiconductor Inc. Monolithic microwave integrated circuit
US8753981B2 (en) 2011-04-22 2014-06-17 Micron Technology, Inc. Microelectronic devices with through-silicon vias and associated methods of manufacturing
US10638955B2 (en) 2011-06-30 2020-05-05 Endotronix, Inc. Pressure sensing implant
US9573801B2 (en) 2011-09-13 2017-02-21 Texas Instruments Incorporated MEMS electrostatic actuator device for RF varactor applications
US20130082365A1 (en) 2011-10-03 2013-04-04 International Business Machines Corporation Interposer for ESD, EMI, and EMC
US9081064B2 (en) 2011-10-18 2015-07-14 Texas Instruments Incorporated IC scan cell coupled to TSV top and bottom contacts
TWI432613B (zh) 2011-11-16 2014-04-01 Univ Nat Chiao Tung 電鍍沉積之奈米雙晶銅金屬層及其製備方法
US20130153896A1 (en) 2011-12-19 2013-06-20 Texas Instruments Incorporated SCAN TESTABLE THROUGH SILICON VIAs
US9466532B2 (en) 2012-01-31 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Micro-electro mechanical system (MEMS) structures with through substrate vias and methods of forming the same
US9383403B2 (en) 2012-03-20 2016-07-05 Texas Instruments Incorporated TSVs connected to ground and combined stimulus and testing leads
JP5925006B2 (ja) 2012-03-26 2016-05-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20140117559A1 (en) 2012-03-30 2014-05-01 Paul A. Zimmerman Process and material for preventing deleterious expansion of high aspect ratio copper filled through silicon vias (tsvs)
US9257392B2 (en) 2012-04-11 2016-02-09 Mediatek Inc. Semiconductor package with through silicon via interconnect
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
DE112012006625B4 (de) 2012-06-25 2023-09-28 Intel Corporation Mehrchiplagenhalbleiterstruktur mit vertikalem Zwischenseitenchip und Halbleiterpaket dafür
US9006101B2 (en) * 2012-08-31 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
KR102021884B1 (ko) * 2012-09-25 2019-09-18 삼성전자주식회사 후면 본딩 구조체를 갖는 반도체 소자
US9209156B2 (en) 2012-09-28 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuits stacking approach
TWI484191B (zh) 2012-09-28 2015-05-11 Hermes Epitek Corp 電路測試探針卡
US9529041B2 (en) 2012-11-05 2016-12-27 Brian D. Erickson Method for testing through-silicon vias at wafer sort using electron beam deflection
US9508674B2 (en) 2012-11-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage control of semiconductor die package
US9177914B2 (en) 2012-11-15 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal pad structure over TSV to reduce shorting of upper metal layer
KR20140063271A (ko) 2012-11-16 2014-05-27 삼성전자주식회사 관통 전극을 갖는 반도체 장치 및 그 제조 방법
US9472284B2 (en) 2012-11-19 2016-10-18 Silicon Storage Technology, Inc. Three-dimensional flash memory system
US9496211B2 (en) 2012-11-21 2016-11-15 Intel Corporation Logic die and other components embedded in build-up layers
US9455188B2 (en) 2013-01-18 2016-09-27 Globalfoundries Inc. Through silicon via device having low stress, thin film gaps and methods for forming the same
US9482720B2 (en) 2013-02-14 2016-11-01 Duke University Non-invasive pre-bond TSV test using ring oscillators and multiple voltage levels
US9470710B2 (en) 2013-02-27 2016-10-18 Texas Instruments Incorporated Capacitive MEMS sensor devices
US9520811B2 (en) 2013-02-27 2016-12-13 Texas Instruments Incorporated Capacitive micromachined ultrasonic transducer (CMUT) device with through-substrate via (TSV)
US9351081B2 (en) 2013-02-27 2016-05-24 Texas Instruments Incorporated Capacitive micromachined ultrasonic transducer (CMUT) with through-substrate via (TSV) substrate plug
US20160239461A1 (en) 2013-03-01 2016-08-18 Synaptic Engines, Llc Reconfigurable graph processor
US9768089B2 (en) 2013-03-13 2017-09-19 Globalfoundries Singapore Pte. Ltd. Wafer stack protection seal
US9412702B2 (en) 2013-03-14 2016-08-09 Intel Corporation Laser die backside film removal for integrated circuit (IC) packaging
US8884427B2 (en) 2013-03-14 2014-11-11 Invensas Corporation Low CTE interposer without TSV structure
KR101862379B1 (ko) 2013-04-19 2018-07-05 삼성전자주식회사 Ecc 동작과 리던던시 리페어 동작을 공유하는 메모리 장치
US9000599B2 (en) 2013-05-13 2015-04-07 Intel Corporation Multichip integration with through silicon via (TSV) die embedded in package
WO2014194049A1 (en) 2013-05-31 2014-12-04 The Regents Of The University Of California Through silicon vias and thermocompression bonding using inkjet-printed nanoparticles
KR20200070446A (ko) 2013-06-28 2020-06-17 인텔 코포레이션 미세 피치 재분배 라인들의 보존
US9299640B2 (en) 2013-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Front-to-back bonding with through-substrate via (TSV)
KR102111739B1 (ko) 2013-07-23 2020-05-15 삼성전자주식회사 반도체 패키지 및 그 제조방법
CN103361694A (zh) 2013-08-08 2013-10-23 上海新阳半导体材料股份有限公司 一种用于3d铜互连高深宽比硅通孔技术微孔电镀填铜方法
CN103361681B (zh) 2013-08-08 2016-11-16 上海新阳半导体材料股份有限公司 能改变tsv微孔镀铜填充方式的添加剂c及包含其的电镀液
US9514986B2 (en) 2013-08-28 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Device with capped through-substrate via structure
US9595474B2 (en) 2013-08-30 2017-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. 3D IC with serial gate MOS device, and method of making the 3D IC
KR102143518B1 (ko) 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9599517B2 (en) 2013-10-17 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. 3D thermal detection circuits and methods
EP3060221A1 (en) 2013-10-21 2016-08-31 Institut National de la Santé et de la Recherche Médicale (INSERM) Methods and pharmaceutical composition for the treatment of polyomavirus infections
KR101545952B1 (ko) 2013-10-28 2015-08-21 (주)실리콘화일 스택 메모리 장치 및 그 동작 방법
EP3809451A1 (en) * 2013-11-08 2021-04-21 Renesas Electronics Corporation Semiconductor device
CN104637927B (zh) 2013-11-12 2019-01-22 中国科学院微电子研究所 一种基于柔性基板的三维封装结构及工艺方法
US9570421B2 (en) 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
KR101545951B1 (ko) 2013-12-02 2015-08-21 (주)실리콘화일 이미지 처리 패키지 및 이를 구비하는 카메라 모듈
US9287248B2 (en) 2013-12-12 2016-03-15 Intel Corporation Embedded memory and power management subpackage
DE102013021519A1 (de) 2013-12-12 2015-06-18 Connaught Electronics Ltd. Bilderfassungseinrichtung mit einem Bildsensor und einem thermischen Infrarotsensor sowie Kraftfahrzeug mit einer Bilderfassungseinrichtung
TWI633640B (zh) 2013-12-16 2018-08-21 新力股份有限公司 Semiconductor element, method of manufacturing semiconductor element, and electronic device
JP6350863B2 (ja) 2013-12-20 2018-07-04 ソニー株式会社 撮像素子、撮像装置、および電子装置
US9373613B2 (en) 2013-12-31 2016-06-21 Skyworks Solutions, Inc. Amplifier voltage limiting using punch-through effect
US10276418B2 (en) 2013-12-31 2019-04-30 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Silicon wafer pre-alignment device and method therefor
EP2908337A1 (en) 2014-02-12 2015-08-19 ams AG Semiconductor device with a thermally stable bump contact on a TSV and method of producing such a semiconductor device
JP6336293B2 (ja) 2014-02-21 2018-06-06 ローム株式会社 電圧生成装置
US9659840B2 (en) 2014-02-21 2017-05-23 Globalfoundries Inc. Process flow for a combined CA and TSV oxide deposition
JP6692758B2 (ja) 2014-02-24 2020-05-13 ヘンケル アイピー アンド ホールディング ゲゼルシャフト ミット ベシュレンクテル ハフツング 熱伝導性プリアプライアンダーフィル組成物およびその使用
US10199357B2 (en) 2014-03-24 2019-02-05 SK Hynix Inc. Semiconductor package
MY186309A (en) 2014-03-28 2021-07-07 Intel Corp Tsv-connected backside decoupling
EP2924468A1 (en) 2014-03-28 2015-09-30 The European Community, represented by the European Commission Method and apparatus for processing radionavigation signals for atmospheric monitoring
US9299663B2 (en) 2014-05-19 2016-03-29 Micron Technology, Inc. Semiconductor devices and methods for backside photo alignment
US9356009B2 (en) 2014-05-27 2016-05-31 Micron Technology, Inc. Interconnect structure with redundant electrical connectors and associated systems and methods
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
CN103995240B (zh) 2014-05-30 2017-11-10 江苏多维科技有限公司 一种磁电阻z轴梯度传感器芯片
KR20170018813A (ko) 2014-06-16 2017-02-20 인텔 코포레이션 관통 실리콘 비아들(tvs)의 사용 없이 로직 다이에의 메모리 다이의 직접 통합을 위한 방법
TWI658509B (zh) 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
JP6315854B2 (ja) 2014-06-27 2018-04-25 インテル・コーポレーション シリコン貫通ビアベースの太陽電池
CN106463421A (zh) 2014-07-08 2017-02-22 英特尔公司 穿体过孔衬垫沉积
WO2016025478A1 (en) 2014-08-11 2016-02-18 Massachusetts Institute Of Technology Interconnect structures for assembly of semiconductor structures including at least one integrated circuit structure
US9501603B2 (en) 2014-09-05 2016-11-22 International Business Machines Corporation Integrated circuit design changes using through-silicon vias
US20160071822A1 (en) 2014-09-08 2016-03-10 International Business Machines Corporation OPTIMIZING POWER DISTRIBUTION FROM A POWER SOURCE THROUGH A C4 SOLDER BALL GRID INTERCONNECTED THROUGH SILICON VIAS IN INTERMEDIATE INTEGRATED CIRCUIT CHIP CONNECTED TO CIRCUITRY IN AN UPPER INTEGRATED CIRCUIT CHIP THROUGH A GRID OF MICRO uC4 SOLDER BALLS
US9548289B2 (en) 2014-09-15 2017-01-17 Mediatek Inc. Semiconductor package assemblies with system-on-chip (SOC) packages
US20160079205A1 (en) 2014-09-15 2016-03-17 Mediatek Inc. Semiconductor package assembly
US20160086880A1 (en) 2014-09-22 2016-03-24 Freescale Semiconductor, Inc. Copper wire through silicon via connection
US20160093583A1 (en) 2014-09-25 2016-03-31 Micron Technology, Inc. Bond pad with micro-protrusions for direct metallic bonding
US20160095221A1 (en) 2014-09-27 2016-03-31 Qualcomm Incorporated Integration of electronic elements on the backside of a semiconductor die
KR102305505B1 (ko) 2014-09-29 2021-09-24 삼성전자주식회사 웨이퍼 서포팅 시스템 디본딩 이니시에이터 및 웨이퍼 서포팅 시스템 디본딩 방법
KR102315276B1 (ko) 2014-10-06 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
EP3009860B1 (en) 2014-10-16 2019-12-18 GMV Aerospace and Defence S.A. Method for computing an error bound of a Kalman filter based GNSS position solution
CN105575828B (zh) 2014-10-16 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US9236328B1 (en) 2014-10-27 2016-01-12 International Business Machines Corporation Electrical and optical through-silicon-via (TSV)
WO2016070036A1 (en) 2014-10-31 2016-05-06 Veeco Precision Surface Processing Llc A system and method for performing a wet etching process
EP3040355B1 (en) 2014-11-04 2017-10-18 LG Chem, Ltd. Asphalt modifier and asphalt composition containing same
US9812429B2 (en) 2014-11-05 2017-11-07 Massachusetts Institute Of Technology Interconnect structures for assembly of multi-layer semiconductor devices
US9608731B2 (en) 2014-11-05 2017-03-28 Innovative Micro Technology Microfabricated optical apparatus
US9429727B2 (en) 2014-11-06 2016-08-30 Sae Magnetics (H.K.) Ltd. Wafer level packaged optical subassembly and transceiver module having same
KR102313949B1 (ko) 2014-11-11 2021-10-18 삼성전자주식회사 스택 반도체 장치 및 이를 포함하는 메모리 장치
US20160141226A1 (en) 2014-11-14 2016-05-19 International Business Machines Corporation Device connection through a buried oxide layer in a silicon on insulator wafer
CN105633046A (zh) 2014-11-20 2016-06-01 三星电子株式会社 半导体装置和包括该半导体装置的半导体封装
US20160148863A1 (en) 2014-11-21 2016-05-26 International Business Machines Corporation Non-contiguous dummy structure surrounding through-substrate via near integrated circuit wires
KR102279729B1 (ko) 2014-12-01 2021-07-21 삼성전자주식회사 Tsv, 전면 범핑 패드 및 후면 범핑 패드를 갖는 반도체 소자
CN104485294A (zh) 2014-12-12 2015-04-01 浙江中纳晶微电子科技有限公司 一种晶圆临时键合及分离方法
US9991150B2 (en) 2014-12-12 2018-06-05 Micro Materials Inc. Procedure of processing a workpiece and an apparatus designed for the procedure
US10453706B2 (en) 2014-12-17 2019-10-22 The Charles Stark Draper Laboratory, Inc. Methods and devices for miniaturization of high density wafer based electronic 3D multi-chip modules
US9570399B2 (en) 2014-12-23 2017-02-14 Mediatek Inc. Semiconductor package assembly with through silicon via interconnect
SG10201408768XA (en) 2014-12-29 2016-07-28 Globalfoundries Sg Pte Ltd Device without zero mark layer
CN105845544B (zh) 2015-01-14 2021-02-19 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US9543232B2 (en) 2015-01-21 2017-01-10 Mediatek Inc. Semiconductor package structure and method for forming the same
US9818622B2 (en) 2015-01-29 2017-11-14 Micron Technology, Inc. Uniform back side exposure of through-silicon vias
KR20160096739A (ko) 2015-02-05 2016-08-17 삼성디스플레이 주식회사 표시 장치
US20160239768A1 (en) 2015-02-13 2016-08-18 Data Laboratories Limited Human capital browser including interactive data visualization tools
US20160260674A1 (en) 2015-03-03 2016-09-08 Globalfoundries Inc. Removal of integrated circuit chips from a wafer
US9869713B2 (en) 2015-03-05 2018-01-16 Qualcomm Incorporated Through-silicon via (TSV) crack sensors for detecting TSV cracks in three-dimensional (3D) integrated circuits (ICs) (3DICs), and related methods and systems
US10131540B2 (en) 2015-03-12 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to mitigate soldering offset for wafer-level chip scale package (WLCSP) applications
US20160268230A1 (en) 2015-03-12 2016-09-15 United Microelectronics Corp. Stacked semiconductor structure
US9845236B2 (en) 2015-03-12 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Monolithic MEMS platform for integrated pressure, temperature, and gas sensor
US9606081B2 (en) 2015-03-12 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a micro-well of a biosensor
US10279352B2 (en) 2015-03-18 2019-05-07 Optolane Technologies Inc. PCR module, PCR system having the same, and method of inspecting using the same
US9761561B2 (en) 2015-03-18 2017-09-12 Globalfoundries Singapore Pte. Ltd. Edge structure for backgrinding asymmetrical bonded wafer
KR102582523B1 (ko) * 2015-03-19 2023-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 전자 기기
US10075132B2 (en) 2015-03-24 2018-09-11 Nxp Usa, Inc. RF amplifier with conductor-less region underlying filter circuit inductor, and methods of manufacture thereof
US10529651B2 (en) 2015-03-26 2020-01-07 Great Wall Semiconductor Corporation Co-packaged die on leadframe with common contact
KR20160115483A (ko) 2015-03-27 2016-10-06 에스케이하이닉스 주식회사 반도체 장치
DE202015002315U1 (de) 2015-03-27 2015-05-06 Infineon Technologies Ag Gassensor
US20160293579A1 (en) 2015-04-03 2016-10-06 Globalfoundries Inc. Integration structures for high current applications
US10074630B2 (en) 2015-04-14 2018-09-11 Amkor Technology, Inc. Semiconductor package with high routing density patch
TWI690083B (zh) 2015-04-15 2020-04-01 杰力科技股份有限公司 功率金氧半導體場效電晶體及其製作方法
US9721837B2 (en) 2015-04-16 2017-08-01 Intersil Americas LLC Wafer level optoelectronic device packages with crosstalk barriers and methods for making the same
KR20160123890A (ko) 2015-04-17 2016-10-26 에스케이하이닉스 주식회사 검증용 인터포저
US10074594B2 (en) 2015-04-17 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20160314232A1 (en) 2015-04-22 2016-10-27 Synopsys, Inc. FPGA Trace Memory
US9431235B1 (en) 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US9738516B2 (en) 2015-04-29 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure to reduce backside silicon damage
US9780079B2 (en) 2015-04-30 2017-10-03 Micron Technology, Inc. Semiconductor die assembly and methods of forming thermal paths
JP6411279B2 (ja) 2015-05-11 2018-10-24 東京エレクトロン株式会社 めっき処理方法および記憶媒体
US20160343613A1 (en) 2015-05-18 2016-11-24 Invensas Corporation THROUGH-DIELECTRIC-VIAS (TDVs) FOR 3D INTEGRATED CIRCUITS IN SILICON
US10008447B2 (en) 2015-05-21 2018-06-26 Nxp Usa, Inc. Solar cell powered integrated circuit device and method therefor
KR20160139815A (ko) 2015-05-28 2016-12-07 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US9559086B2 (en) 2015-05-29 2017-01-31 Micron Technology, Inc. Semiconductor device with modified current distribution
KR102296738B1 (ko) 2015-06-01 2021-09-01 삼성전자 주식회사 반도체 메모리 장치, 이를 포함하는 메모리 시스템 및 반도체 메모리 장치의 에러 정정 방법
US10043769B2 (en) 2015-06-03 2018-08-07 Micron Technology, Inc. Semiconductor devices including dummy chips
KR102290020B1 (ko) 2015-06-05 2021-08-19 삼성전자주식회사 스택드 칩 구조에서 소프트 데이터 페일 분석 및 구제 기능을 제공하는 반도체 메모리 장치
US20160365334A1 (en) 2015-06-09 2016-12-15 Inotera Memories, Inc. Package-on-package assembly and method for manufacturing the same
KR20160146404A (ko) 2015-06-12 2016-12-21 에스케이하이닉스 주식회사 입출력라인 테스트 장치 및 방법
US9910890B2 (en) 2015-06-15 2018-03-06 International Business Machines Corporation Synthetic events to chain queries against structured data
US9761540B2 (en) 2015-06-24 2017-09-12 Micron Technology, Inc. Wafer level package and fabrication method thereof
US9728450B2 (en) 2015-06-25 2017-08-08 International Business Machines Corporation Insulating a via in a semiconductor substrate
US20160379818A1 (en) 2015-06-25 2016-12-29 Globalfoundries Inc. Insulating a via in a semiconductor substrate
US9818711B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure and methods thereof
EP3113216B1 (en) 2015-07-01 2021-05-19 IMEC vzw A method for bonding and interconnecting integrated circuit devices
US10008439B2 (en) 2015-07-09 2018-06-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Thin recon interposer package without TSV for fine input/output pitch fan-out
US20170017744A1 (en) 2015-07-15 2017-01-19 E-System Design, Inc. Modeling of Power Distribution Networks for Path Finding
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
KR20170011366A (ko) 2015-07-22 2017-02-02 삼성전자주식회사 반도체 칩 및 이를 가지는 반도체 패키지
US10036814B2 (en) 2015-07-23 2018-07-31 General Electric Company X-ray detector with directly applied scintillator
US20170033061A1 (en) 2015-07-29 2017-02-02 Globalfoundries Inc. Mitigating transient tsv-induced ic substrate noise and resulting devices
KR102387948B1 (ko) 2015-08-06 2022-04-18 삼성전자주식회사 Tsv 구조물을 구비한 집적회로 소자
KR102379165B1 (ko) 2015-08-17 2022-03-25 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
KR102373541B1 (ko) 2015-08-31 2022-03-11 삼성전자주식회사 반도체 장치, 스택 반도체 장치 및 이의 테스트 방법
KR20170030307A (ko) 2015-09-09 2017-03-17 삼성전자주식회사 분리 배치된 커패시터를 갖는 메모리 장치
US10364140B2 (en) 2015-09-22 2019-07-30 Nxp Usa, Inc. Integrating diverse sensors in a single semiconductor device
US9728494B2 (en) 2015-09-24 2017-08-08 Verily Life Sciences Llc Body-mountable device with a common substrate for electronics and battery
US9899355B2 (en) 2015-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure
US20170098599A1 (en) 2015-10-01 2017-04-06 United Microelectronics Corp. Oxide semiconductor device and manufacturing method thereof
KR102430865B1 (ko) 2015-10-02 2022-08-10 삼성전자주식회사 멀티 메모리 다이 구조에서 외부 파워에 대한 피크 전류 감소 기능을 갖는 반도체 메모리 장치
US9748184B2 (en) 2015-10-15 2017-08-29 Micron Technology, Inc. Wafer level package with TSV-less interposer
US9990143B2 (en) 2015-10-16 2018-06-05 SK Hynix Inc. Memory system
US9761546B2 (en) 2015-10-19 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Trap layer substrate stacking technique to improve performance for RF devices
TWI608347B (zh) 2015-10-27 2017-12-11 輝達公司 粒狀動態測試系統和方法
US10224310B2 (en) 2015-10-29 2019-03-05 Qualcomm Incorporated Hybrid three-dimensional integrated circuit reconfigurable thermal aware and dynamic power gating interconnect architecture
KR20170050678A (ko) 2015-10-30 2017-05-11 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US9786592B2 (en) 2015-10-30 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method of forming the same
EP3168603B1 (en) 2015-11-11 2019-06-19 ams AG Method for manufacturing a semiconductor component
US9741693B2 (en) 2015-11-12 2017-08-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US9933566B2 (en) 2015-11-13 2018-04-03 Cisco Technology, Inc. Photonic chip with an evanescent coupling interface
KR102398640B1 (ko) 2015-11-16 2022-05-18 에스케이하이닉스 주식회사 리저브 캐패시터를 구비한 반도체 집적 회로 장치
KR102410992B1 (ko) 2015-11-26 2022-06-20 삼성전자주식회사 적층형 메모리 장치, 이를 포함하는 메모리 패키지 및 메모리 시스템
KR20170062635A (ko) 2015-11-27 2017-06-08 삼성전자주식회사 멀티 메모리 다이 구조에서 피크 전류 감소 기능을 갖는 반도체 메모리 장치
US9728506B2 (en) 2015-12-03 2017-08-08 Globalfoundries Inc. Strain engineering devices using partial depth films in through-substrate vias
KR20170065397A (ko) 2015-12-03 2017-06-13 삼성전자주식회사 반도체 장치
US9875787B2 (en) 2015-12-08 2018-01-23 Rambus Inc. Reduced transport energy in a memory system
US9994741B2 (en) 2015-12-13 2018-06-12 International Business Machines Corporation Enhanced adhesive materials and processes for 3D applications
US20170170016A1 (en) 2015-12-14 2017-06-15 Globalfoundries Inc. Multiple patterning method for substrate
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9941189B2 (en) 2015-12-21 2018-04-10 International Business Machines Corporation Counter-flow expanding channels for enhanced two-phase heat removal
US20170186731A1 (en) 2015-12-23 2017-06-29 Sandisk Technologies Llc Solid state drive optimized for wafers
US9806025B2 (en) 2015-12-29 2017-10-31 Globalfoundries Inc. SOI wafers with buried dielectric layers to prevent Cu diffusion
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9812404B2 (en) 2015-12-30 2017-11-07 Globalfoundries Inc Electrical connection around a crackstop structure
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
KR20170082883A (ko) 2016-01-07 2017-07-17 삼성전자주식회사 열화상 이미지를 제공하는 전자 장치 및 그의 동작 방법
KR20170083820A (ko) 2016-01-11 2017-07-19 삼성전자주식회사 메모리 장치를 포함하는 메모리 시스템
US10170337B2 (en) 2016-01-13 2019-01-01 International Business Machines Corporation Implant after through-silicon via (TSV) etch to getter mobile ions
US9741695B2 (en) 2016-01-13 2017-08-22 Globalfoundries Inc. Three-dimensional hybrid packaging with through-silicon-vias and tape-automated-bonding
KR102473664B1 (ko) 2016-01-19 2022-12-02 삼성전자주식회사 Tsv 구조체를 가진 다중 적층 소자
US10121734B2 (en) 2016-01-20 2018-11-06 Micron Technology, Inc. Semiconductor device
US10090420B2 (en) 2016-01-22 2018-10-02 Solar Junction Corporation Via etch method for back contact multijunction solar cells

Also Published As

Publication number Publication date
US10643926B2 (en) 2020-05-05
KR102450580B1 (ko) 2022-10-07
US20190198426A1 (en) 2019-06-27
KR20190076516A (ko) 2019-07-02

Similar Documents

Publication Publication Date Title
US20200258865A1 (en) Stacked Integrated Circuits with Redistribution Lines
CN109962054A (zh) 具有在金属互连下形成绝缘层的结构的半导体装置
JP6743149B2 (ja) 導電性バリアのダイレクトハイブリッドボンディング
CN105280611B (zh) 3dic互连器件及其形成方法
US8486823B2 (en) Methods of forming through via
JP5271985B2 (ja) 集積回路構造
US8432038B2 (en) Through-silicon via structure and a process for forming the same
US7538434B2 (en) Copper interconnection with conductive polymer layer and method of forming the same
TWI427739B (zh) 矽穿孔的形成方法
TWI544597B (zh) 積體電路元件以及半導體製程
KR101934045B1 (ko) 반도체 장치 및 그 제조 방법
EP3598482B1 (en) Integrated circuit device and method of manufacturing the same
JP2010045371A (ja) 導電性保護膜を有する貫通電極構造体及びその形成方法
WO2009115449A1 (en) Method for fabricating through-substrate vias
CN101355044A (zh) 系统级封装及其制造方法
US9437578B2 (en) Stacked IC control through the use of homogenous region
TWI697969B (zh) 具有混合金屬化之互連
TWI705527B (zh) 形成積體電路結構之方法、積體電路裝置、和積體電路結構
CN113035809B (zh) 硅通孔结构、封装结构及其制造方法
KR100620159B1 (ko) 반도체 소자 제조시 금속간 인터커넥션 형성방법
TW201101424A (en) Method for fabricating through-silicon via structure

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination