CN106663608A - 具有可拆卸高电阻率气体分配板的喷淋头 - Google Patents

具有可拆卸高电阻率气体分配板的喷淋头 Download PDF

Info

Publication number
CN106663608A
CN106663608A CN201580035584.9A CN201580035584A CN106663608A CN 106663608 A CN106663608 A CN 106663608A CN 201580035584 A CN201580035584 A CN 201580035584A CN 106663608 A CN106663608 A CN 106663608A
Authority
CN
China
Prior art keywords
distribution plate
gas distribution
base
spray head
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580035584.9A
Other languages
English (en)
Other versions
CN106663608B (zh
Inventor
J·德拉罗萨
H·诺巴卡施
V·克尼亚齐克
J·金
W·李
U·达杜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201710725396.XA priority Critical patent/CN107481962B/zh
Publication of CN106663608A publication Critical patent/CN106663608A/zh
Application granted granted Critical
Publication of CN106663608B publication Critical patent/CN106663608B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文中提供具有可拆卸气体分配板的喷淋头的实施例。在一些实施例中,在半导体处理腔室中使用的喷淋头可包括:底座,所述底座具有第一侧以及与第一侧相对的第二侧;气体分配板,所述气体分配板设置为接近底座的第二侧,其中气体分配板由具有约60Ω‑cm至90Ω‑cm之间的电阻率的材料形成;夹具,所述夹具绕气体分配板的周缘布置,以便可移除地将气体分配板耦接至底座;以及热垫片,所述热垫片设置在底座与气体分配板之间的间隙中。

Description

具有可拆卸高电阻率气体分配板的喷淋头
技术领域
本公开的实施例总体上关于半导体处理装备。
背景技术
在半导体工艺腔室(例如,沉积腔室、蚀刻腔室等)中利用的常规喷淋头典型地包括永久地接合至底座的气体分配板。归因于在等离子体工艺期间暴露于等离子体所造成的降级,周期性地替换气体分配板。然而,发明人已观察到,由于气体分配板被永久地接合至底座,因此替换整个喷淋头组件以替换气体分配板,从而导致替换过程是昂贵的。此外,已在使用具有低电阻率(例如,0.005-0.015Ω-cm)的气体分配板执行高源功率工艺的应用中观察到起弧(arcing)。
因此,发明人已提供具有可拆卸气体分配板的改进的喷淋头的实施例。
发明内容
本文中提供具有可拆卸气体分配板的喷淋头的实施例。在一些实施例中,一种在半导体处理腔室中使用的喷淋头可包括:底座,所述底座具有第一侧以及与所述第一侧相对的第二侧;气体分配板,所述气体分配板设置为接近所述底座的所述第二侧,其中所述气体分配板由具有约60Ω-cm至约90Ω-cm之间的电阻率的料形成;夹具,所述夹具绕所述气体分配板的周缘设置,以便可移除地将所述气体分配板耦接至所述底座;以及热垫片,所述热垫片设置在所述底座与所述气体分配板之间的间隙中。
在一些实施例中,一种工艺腔室可包括:腔室主体,所述腔室主体具有基板支撑件,所述基板支撑件设置在所述腔室主体的内部容积内;以及喷淋头,所述喷淋头设置在所述腔室主体的所述内部容积内与所述基板支撑件相对。所述喷淋头包括:底座,所述底座具有第一侧以及与所述第一侧相对的第二侧,其中所述底座的所述第一侧耦接至所述工艺腔室的部件;气体分配板,所述气体分配板设置为接近底座的所述第二侧,其中所述气体分配板由具有约60Ω-cm至约90Ω-cm之间的电阻率的材料形成;夹具,所述夹具绕所述气体分配板的周缘设置,以便可移除地将所述气体分配板耦接至所述底座;以及热垫片,所述热垫片设置在所述底座与所述气体分配板之间的间隙中。
在一些实施例中,一种在半导体处理腔室中使用的喷淋头可包括:底座,所述底座具有第一侧以及与所述第一侧相对的第二侧,所述第二侧包括三氟化钇涂层;气体分配板,所述气体分配板设置为接近所述底座的所述第二侧,其中所述气体分配板由具有约60Ω-cm至约90Ω-cm之间的电阻率的材料形成;经阳极化的夹具,所述经阳极化的夹具绕所述气体分配板的周缘设置,以便可移除地将所述气体分配板耦接至所述底座;多个硅酮热垫片,所述多个硅酮热垫片设置在所述底座与所述气体分配板之间的间隙中;以及多个销,所述多个销被按压至所述底座的所述第二侧中并设置在所述间隙中,以便当所述气体分配板朝所述底座偏斜时维持所述间隙的厚度。
描述了本公开的其他和进一步的实施例。
附图说明
可通过参考在所附附图中描绘的本公开的说明性实施例来理解上文中简要概述的且在下文中更详细地讨论的本公开的实施例。然而,所附附图仅图示本公开的典型实施例,因此不视为限制本公开的范围,因为公开可允许其他等效实施例。
图1描绘根据本公开的一些实施例的具有气体分配板的喷淋头。
图2描绘根据本公开的一些实施例的、适合与具有气体分配板的喷淋头一起使用的工艺腔室。
为了便于理解,在可能的情况下,已使用相同的元件符号来指定各附图共有的相同的元件。附图并非按照尺寸绘制,并且附图可能为了清楚而简化。一个实施例的元件和特征可有益地并入其他实施例中而无须进一步阐释。
具体实施方式
本文中提供具有可拆卸气体分配板的喷淋头的实施例。在至少一些实施例中,本发明的喷淋头可有益地允许用于气体分配板的移除和替换,由此提供相比具有永久地接合的气体分配板的常规喷淋头更长的有效寿命以及更具成本效益的替换气体分配板的方式的喷淋头。
图1描绘根据本公开的一些实施例的具有气体分配板的喷淋头。喷淋头100通常包含主体102、气体分配板104和夹具110,所述夹具110配置成可移除地将气体分配板耦接至主体102。
主体102包含第一侧150、第二侧140以及形成在主体102中而从第一侧150延伸至第二侧140的多个通孔116。多个通孔116便于工艺气体通过主体102而至气体分配板104。在一些实施例中,通孔116可以是埋头孔(例如,所示的埋孔118)以减少通孔116处的残余电场,并促进至气体分配板104的更均匀的气体流。在一些实施例中,可在主体102的第一侧150中形成凹室114以促进至多个通孔116的更均匀的工艺气体的分配。主体102可由任何适合的工艺兼容材料(例如,铝)制成。通过由诸如铝之类的导电材料制成主体102,主体102可充当电极,以便例如促进从提供至喷淋头100的工艺气体形成等离子体。在一些实施例中,能以材料涂覆主体102的第二侧140以保护第二侧140免受离子、等离子体或点燃。例如,在一些实施例中,涂覆可以是三氟化钇(YF3)涂覆。可使用各种技术将涂层设置在主体102的第二侧140上。用于涂覆主体102的第二侧140的一些示例性非限制性方法可包括:使用对靶材材料的电子束诱导活化从由涂覆材料制成或以其他方式包括涂覆材料的靶材将涂层沉积或蒸发到主体102上。
在一些实施例中,可在主体102的表面中形成一个或更多个通道以容纳一个或更多个O形环和/或射频(RF)垫片(示出的O形环130、132、134以及RF垫片108、126)。当存在时,O形环130、132、134在主体102与夹具110或工艺腔室(未示出)的表面之间提供密封。O形环130、132、134可由任何适合的材料(例如,橡胶)制成以促进上述的密封。RF垫片108、126促进RF功率例如从RF源至主体102和夹具110的导电性。例如,可从RF功率供应器(诸如,下文所述的RF电源286)将RF功率提供至耦接至主体102且与一个或更多个RF垫片(例如,RF垫片126)接触的部件。RF垫片108、126可由任何适合的导电材料(例如,不锈钢)制成。
气体分配板104促进从主体102提供的工艺气体例如经由在气体分配板104中形成的多个气体分配孔142而向工艺腔室的处理容积的分配。气体分配孔142能以适用于提供所需的处理气体分配的方式来布置。例如,在一些实施例中,当气体分配板104耦接至主体102时,气体分配孔142可布置为绕主体102的通孔116设置的群集。
气体分配板104可由任何适合的材料制成,以抵抗在暴露于等离子体(例如,在处理期间形成在工艺腔室中的等离子体)期间的降级。例如,在一些实施例中,气体分配板104可由单晶硅(Si)制成。单晶硅并非典型地用作用于气体分配板的材料,至少部分地归因于单晶硅具有相比受青睐的材料碳化硅更快的蚀刻速率。然而,发明人已观察到,相比用于制造气体分配板的常规材料(诸如,碳化硅)(SiC),单晶硅较不易受表面粗糙度改变、起弧和微掩蔽(micro-masking)的影响,并且在提升的温度(例如,高于约150摄氏度)下提供更好的操作性。此外,相比常规材料,单晶硅更易于取得且能以更低的成本获得。此外,在将喷淋头100使用于涉及含硅气体的基板工艺的实施例中,由硅制成的气体分配板104降低由于气体分配板104的降级而造成的污染的实例。
在一些实施例中,气体分配板104由单晶硅材料制成,所述单晶硅材料具有在约60Ω-cm与90Ω-cm之间的高电阻率以减少起弧。如上所述,发明人已观察到,低电阻率气体分配板(例如,具有约0.005-0.015Ω-cm的电阻率的气体分配板)在源功率处于162MHz而大于或等于2000瓦的工艺期间将起弧。由此,当喷淋头100用在高源功率工艺中时,气体分配板104的高电阻率有益地减少起弧。在一些实施例中,可掺杂通过其来获得单晶硅的晶锭以改变晶锭的电阻率。例如,能以诸如硼之类的高电阻率材料掺杂或涂覆单晶硅晶锭以增加材料的电阻率。在一些实施例中,如果气体分配板104由低电阻率材料制成,则能以高电阻率材料处理、涂覆或掺杂气体分配板104,以增加气体分配板104的电阻率。
气体分配板104可具有足以提供所需的气体分配和适合的有效功能寿命的任何适合的厚度。此外,在一些实施例中,气体分配板104可具有适合的厚度,足以确保当气体分配板104耦接至主体102时与设置在气体分配板104与主体102之间的一个或更多个热垫片(示出的三个热垫片120、122、124)的持续接触。例如,在一些实施例中,气体分配板104的厚度可经选择,使得由夹具110在气体分配板104的边缘处提供的力而导致的气体分配板104的躬曲量小于当压缩时热垫片120、122、124变形的量,由此确保当夹持时与热垫片120、122、124中的每一个的持续接触。替代地或组合地,在一些实施例中,气体分配板104的厚度可经选择,以提供适于减少等离子体穿透且改善气体分配板104的有效功能寿命的气体分配孔142的纵横比(aspect ratio)。例如,在气体分配孔142具有约0.5mm直径的实施例中,气体分配板104可具有约9mm的厚度。
夹具110促进将气体分配板104耦接至主体102。在一些实施例中,夹具110经由紧固件106来促进此类耦接,所述紧固件106提供至形成在主体102中的通孔136,所述通孔136对应于形成在夹具中的螺纹孔138。夹具110可由任何工艺兼容的导电材料(例如,铝)制成。在一些实施例中,能以喷洒涂层(例如,氧化钇(Y2O3))来涂覆夹具110以减小夹具110在等离子体环境中的降级。在一些实施例中,替代地,能以氧化铝涂层来阳极化夹具110。
在一些实施例中,夹具110可包括形成在夹具110的表面中的一个或更多个通道以容纳一个或更多个O形环和RF垫片(示出的O形环128和RF垫片148)。当存在时,O形环128对气体分配板104提供缓冲,以防止当夹持至主体102时气体分配板104的破损。当存在时,RF垫片148促进RF功率从主体102通过夹具110而至气体分配板104的导电性,由此允许气体分配板104充当RF电极。对气体分配板104提供RF电流路径也遮蔽了在主体102与气体分配板104之间的间隙146,这例如在主体102的通孔116处减少了起弧。O形环128和RF垫片148可由任何材料(例如上文中针对O形环130、132、134和RF垫片108、126所讨论的材料)制成。
在一些实施例中,热垫片120、122、124可设置在主体102与气体分配板104之间。当存在时,热垫片120、122、124可促进主体102与气体分配板104之间的热交换,以便例如跨气体分配板104提供更均匀的热梯度。此外,热垫片120、122、124可在主体102与气体分配板104之间提供间隙146,并且为通孔116和对应的气体分配孔142的组限定分开的充气部(plenum)(例如,区)。在一些实施例中,喷淋头100也可包括按压到主体102中的多个销152。当气体分配板104向主体102偏斜时,多个销152确保间隙146基本上维持不改变。销152各自都包括通孔153,以确保在销152后方的任何间隙被适当地排空。
热垫片120、122、124可由在工艺压力和温度(例如,真空条件以及处于或高于150摄氏度的温度)处具有低释气(out-gassing)的任何可压缩、导热材料制成。在一些实施例中,垫片可包含含硅酮材料,例如,可从取得的GR-M或具有高导热性和阻燃特性的其他硅酮橡胶材料。热垫片120、122、124可具有适用于维持主体102与气体分配板104之间的接触的任何形状。例如,在一些实施例中,热垫片120、122、124可以是多个同心环,所述同心环具有如图1中所示的矩形截面。在一些实施例中,热垫片120、122、124的几何形状可优化,以容纳当夹持在一起时归因于由夹具110在气体分配板104的边缘处提供的力而导致的主体102与气体分配板104之间的距离的差异(例如,气体分配板104的躬曲)。
在一些实施例中,保护环112可围绕喷淋头而设置以遮蔽主体102、夹具110和气体分配板104的多个部分。保护环112可由任何适合的工艺兼容材料(例如,石英(SiO2))制成。
图2描绘根据本公开的一些实施例的、适合与喷淋头一起使用的说明性工艺腔室200的示意图。示例性工艺腔室可包括可从加利佛尼亚州圣克拉拉市的应用材料公司购得的E5、ADVANTEDGETM或其他工艺腔室。具有喷淋头或经修改以具有喷淋头的其他适合的工艺腔室可类似地受益于本公开。
在一些实施例中,工艺腔室200可通常包含:腔室主体202,具有基板支撑基座208,所述基板支撑基座208设置在腔室主体的内部容积205内,用于在其上支撑基板210;以及排气系统220,用于从腔室主体202的内部容积205去除过量的工艺气体、处理副产物等。
在一些实施例中,上衬套264和下衬套266可覆盖腔室主体202的内部,以在处理期间保护腔室主体202。在一些实施例中,腔室主体202具有可包括处理容积204的内部容积205。处理容积204可例如限定在基板支撑基座208与喷淋头214(例如,上述的喷淋头100)和/或设在所需位置的喷嘴之间。在一些实施例中,气体供应器288可将一种或更多种工艺气体提供至喷淋头214,以便将这一种或更多种工艺气体分配至腔室主体202的处理容积204。
在一些实施例中,基板支撑基座208可包括将基板210保持或支撑在基板支撑基座208的表面上的机构,诸如,静电夹盘、真空夹盘、基板保持夹具等。附加地或组合地,在一些实施例中,基板支撑基座208可包括用于控制基板温度(诸如,加热和/或冷却装置,未示出)和/或用于控制接近基板表面的物质通量和/或离子能量的机构。例如,在一些实施例中,基板支撑基座208可包括电极240以及经由相应的匹配网络236、262而耦接至电极240的一个或更多个电源(两个偏压电源238、244)。例如,基板支撑基座208可经配置为经由匹配网络262而耦接至偏压电源244的阴极。上述偏压电源(例如,偏压电源238、244)可以能够在约2MHz、或约13.56MHz、或约60MHz的频率处产生高达约12000W。至少一个偏压电源可提供连续或脉冲电源中的任一者。在一些实施例中,偏压电源替代地可以是DC或脉冲DC源。
在一些实施例中,基板支撑基座208可包括基板支撑环280,所述基板支撑环280设置在基板支撑基座208顶部,并且配置成在处理期间支撑基板210的至少部分。在一些实施例中,一个或更多个环(示出的插入环278和阻挡环242)可绕基板支撑基座208而设置。一个或更多个环可由任何适合的工艺兼容材料制成。例如,在一些实施例中,插入环可由硅(Si)制成。在一些实施例中,阻挡环242可由石英(SiO2)制成。在一些实施例中,接地网格260可绕基板支撑基座208的周缘而设置,并且可耦接至腔室主体202。
基板210可经由腔室主体202的壁中的开口212进入腔室主体202。可经由狭缝阀218或其他机构选择性地密封开口212,以便选择性地通过开口212提供对腔室的内部的接取。基板支撑基座208可耦接至举升机构234,所述举升机构234可将基板支撑基座208的位置控制在适合用于经由开口212而将基板传送进入和传送出腔室的较低位置(未示出)与适用于处理的可选择的较高位置之间。工艺位置可经选择以使特定工艺的工艺均匀性最大化。当在抬升的处理位置中的至少一个位置时,基板支撑基座208可设置在开口212上方以提供对称的处理区域。
在一些实施例中,保护环206(例如,上述的保护环112)可绕喷淋头214而设置,并且覆盖的喷淋头214的至少部分,例如,覆盖喷淋头214的主体294(例如,上述的主体102)或气体分配板296(例如,上述的气体分配板104)。在一些实施例中,保护环206可由上衬套264支撑。
在一些实施例中,喷淋头214可耦接至冷却板270和/或由冷却板270支撑。当存在时,冷却板270促进在处理期间对喷淋头214的温度的控制。在一些实施例中,冷却板270包含形成在冷却板270中的多个通道(未示出),以允许由温度控制流体供应器(冷却器)290提供的温度控制流体流过冷却板270,从而促进对喷淋头214的温度的控制。
在一些实施例中,一个或更多个线圈(示出的内线圈274和外线圈272)可设置在喷淋头214上方和/或设置为接近喷淋头的周缘。当存在时,这一个或更多个线圈可促进使形成在工艺腔室200的处理容积204内的等离子体成形。
在一些实施例中,RF电源286经由同轴短截线(coaxial stub)292将RF功率提供至冷却板270和/或喷淋头214。RF电源286能以大于或等于约2000瓦的功率以及约162MHz的频率操作,并且以约227MHz的频率下高达约5000W来操作。如上所述,本发明的气体分配板104在RF电源在高频下以约2000W或更高的功率操作的工艺期间将不起弧。同轴短截线292是具有特性阻抗、共振频率的固定的阻抗匹配网络,并且在喷淋头214与RF电源286之间提供近似的阻抗匹配。在一些实施例中,同轴短截线292通常包含内圆柱形导体298、外圆柱形导体201以及填充内圆柱形导体298与外圆柱形导体201之间的空间的绝缘体203。
内圆柱形导体298和外圆柱形导体201可由能够经受特定工艺环境的任何适合的导电材料构建。例如,在一些实施例中,内圆柱形导体298和外圆柱形导体201可由涂覆镍的铝制成。一个或更多个分接头221沿同轴短截线292的轴向长度设在特定点处,以便从RF电源286将RF功率提供至同轴短截线292。RF电源286的RF功率端子207和RF返回端子209在同轴短截线292的分接头221处分别连接至内圆柱形导体298和外圆柱形导体201。在同轴短截线292的远端213处的端接导体211将内圆柱形导体298与外圆柱形导体201短接在一起,使得同轴柱292在同轴短截线292的远端213处短路。在同轴短截线292的近端215处,外圆柱形导体201经由环状导电外壳或支撑件276而连接至腔室主体202,而内圆柱形导体298经由导电圆柱体217而连接至冷却板270和/或喷淋头214。在一些实施例中,电介质环219设置在导电圆柱体217与冷却板270之间,并且分开所述导电圆柱体217和冷却板270。
排气系统220通常包括泵送充气部224以及一个或更多个导管,所述一个或更多个导管例如经由一个或更多个入口222而将泵送充气部224耦接至腔室主体202的内部容积205(且通常为处理容积204)。真空泵228可经由泵送端口226而耦接至泵送充气部224,以便从腔室主体202抽出废气。真空泵228可流体地耦接至排气出口232,以便将废气路由至适当的废气处置装备。阀230(例如,闸阀等)可设置在泵送充气部224中,以便与真空泵228的操作结合来促进对废气流率的控制。尽管示出Z-运动闸阀,但是也可利用任何适合的工艺兼容的阀用于控制排气的流动。
为了促进如上所述的对工艺腔室200的控制,控制器250可任何形式的通用计算机处理器中的一者,所述通用计算机处理器可在用于控制各种腔室和子处理器的工业设定中使用。CPU 252的存储器或计算机可读介质256可以是易取得的存储器中的一种或多种,诸如,随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或本地或远程的任何其他形式的数字存储。支持电路254耦接至CPU 252以便以常规方式支持处理器。这些电路包括高速缓存、电源供应器、时钟电路、输入/输出电路和子系统,等等。
一种或更多种方法和/或工艺通常可在存储器256中存储为软件例程258,当由CPU252执行所述软件例程258时,所述软件例程258使工艺腔室200执行工艺方法和/或工艺。软件例程258也可由第二CPU(未示出)存储和/或执行,所述第二CPU位于由CPU 252控制的硬件的远程。也可在硬件中执行本公开的方法中的一些或全部。由此,方法和/或工艺可实现在软件中并使用计算机系统来执行;可在硬件中实现为例如专用集成电路或其他类型的而硬件实现;或可实现为软件和硬件的组合。可在基板210定位在基板支撑基座208上之后执行软件例程258。当由CPU 252执行时,软件例程258将通用计算机转换为控制腔室操作使得执行本文中公开的方法的专用计算机(控制器)250。
由此,本文中已提供具有可拆卸气体分配板的喷淋头的实施例。本发明的喷淋头的实施例可有利地提供相比常规喷淋头更长的有效寿命以及更具成本效益的替换气体分配板的方式。
尽管以上内容针对本公开的实施例,但是可设计本公开的其他和进一步的实施例而不背离本公开的基本范围。

Claims (14)

1.一种在半导体处理腔室中使用的喷淋头,包含:
底座,所述底座具有第一侧以及与所述第一侧相对的第二侧;
气体分配板,所述气体分配板设置为接近所述底座的所述第二侧,其中所述气体分配板由具有约60Ω-cm至约90Ω-cm之间的电阻率的材料形成;
夹具,所述夹具绕所述气体分配板的周缘设置,以便可移除地将所述气体分配板耦接至所述底座;以及
热垫片,所述热垫片设置在所述底座与所述气体分配板之间的间隙中。
2.如权利要求1所述的喷淋头,其中所述热垫片包含设置在所述底座与所述气体分配板之间的多个同心环。
3.如权利要求1所述的喷淋头,进一步包含:
所述底座的所述第二侧上的三氟化钇涂层。
4.如权利要求1所述的喷淋头,其中所述气体分配板由单晶硅(Si)制成。
5.如权利要求1至4中的任一项所述的喷淋头,其中所述气体分配板由以高电阻率材料掺杂或涂覆的单晶硅(Si)制成。
6.如权利要求1至4中的任一项所述的喷淋头,其中所述气体分配板由以硼掺杂或涂覆的单晶硅制成。
7.如权利要求1至4中的任一项所述的喷淋头,其中所述底座包含从所述底座的所述第一侧延伸至所述第二侧的多个通孔。
8.如权利要求7所述的喷淋头,其中所述底座包含形成在所述底座的所述第一侧中的充气部,所述充气部流体地耦接至所述多个通孔。
9.如权利要求1至4中的任一项所述的喷淋头,进一步包含:
一个或更多个销,所述一个或更多个销被按压至所述底座的所述第二侧中并设置在所述间隙中,以便当所述气体分配板朝所述底座偏斜时时维持所述间隙的厚度。
10.如权利要求9所述的喷淋头,其中所述多个销中的每一个都包括通孔以允许排空每一个销后面的容积。
11.如权利要求1至4中的任一项所述的喷淋头,其中所述热垫片包括设置在所述底座与所述气体分配板之间的多个同心环。
12.一种工艺腔室,包含:
腔室主体,所述腔室主体具有设置在所述腔室主体的内部容积内的基板支撑件;以及
喷淋头,所述喷淋头设置在所述腔室主体的所述内部容积内与所述基板支撑件相对,所述喷淋头如前述权利要求中的任一项所述,其中所述底座的所述第一侧耦接至所述工艺腔室的部件。
13.如权利要求12所述的工艺腔室,其中所述工艺腔室的所述部件是冷却板,并且其中所述冷却板耦接至所述腔室主体的顶板。
14.如权利要求12所述的工艺腔室,进一步包含射频(RF)电源,所述RF电源耦接至所述喷淋头,其中所述RF电源以大于或等于约2000瓦的功率以及约162MHz的频率操作。
CN201580035584.9A 2014-07-03 2015-06-12 具有可拆卸高电阻率气体分配板的喷淋头 Active CN106663608B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710725396.XA CN107481962B (zh) 2014-07-03 2015-06-12 具有可拆卸高电阻率气体分配板的喷淋头

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462020837P 2014-07-03 2014-07-03
US62/020,837 2014-07-03
US14/729,736 US9911579B2 (en) 2014-07-03 2015-06-03 Showerhead having a detachable high resistivity gas distribution plate
US14/729,736 2015-06-03
PCT/US2015/035501 WO2016003631A1 (en) 2014-07-03 2015-06-12 Showerhead having a detachable high resistivity gas distribution plate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710725396.XA Division CN107481962B (zh) 2014-07-03 2015-06-12 具有可拆卸高电阻率气体分配板的喷淋头

Publications (2)

Publication Number Publication Date
CN106663608A true CN106663608A (zh) 2017-05-10
CN106663608B CN106663608B (zh) 2020-10-27

Family

ID=55017493

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710725396.XA Active CN107481962B (zh) 2014-07-03 2015-06-12 具有可拆卸高电阻率气体分配板的喷淋头
CN201580035584.9A Active CN106663608B (zh) 2014-07-03 2015-06-12 具有可拆卸高电阻率气体分配板的喷淋头

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710725396.XA Active CN107481962B (zh) 2014-07-03 2015-06-12 具有可拆卸高电阻率气体分配板的喷淋头

Country Status (5)

Country Link
US (3) US9911579B2 (zh)
KR (3) KR102022110B1 (zh)
CN (2) CN107481962B (zh)
TW (2) TWI708524B (zh)
WO (1) WO2016003631A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111095514A (zh) * 2017-09-12 2020-05-01 应用材料公司 具有加热的喷头组件的基板处理腔室
CN112349572A (zh) * 2019-08-09 2021-02-09 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102537309B1 (ko) 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10373810B2 (en) 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
US11010806B2 (en) 2016-11-10 2021-05-18 Gerald McLaughlin Method and system for distributed manufacturing
US11580582B1 (en) 2016-03-08 2023-02-14 Gerald McLaughlin Method and system for description database creation, organization, and use
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10811232B2 (en) * 2017-08-08 2020-10-20 Applied Materials, Inc. Multi-plate faceplate for a processing chamber
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7278175B2 (ja) * 2019-08-23 2023-05-19 東京エレクトロン株式会社 基板処理装置、基板処理装置の製造方法及びメンテナンス方法
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1488161A (zh) * 2000-12-29 2004-04-07 兰姆研究公司 用于等离子工艺的电极以及制造和使用此电极的方法
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US20090200553A1 (en) * 2007-11-30 2009-08-13 Applied Materials, Inc High temperature thin film transistor on soda lime glass
CN102124819A (zh) * 2008-08-15 2011-07-13 朗姆研究公司 一种用于等离子体加工设备中的复合淋浴头电极总成
CN102305229A (zh) * 2011-08-31 2012-01-04 潍柴动力股份有限公司 一种内螺纹销
CN104995719A (zh) * 2013-01-25 2015-10-21 应用材料公司 具有可拆卸式气体分配板的喷淋头

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5076903A (en) * 1991-02-11 1991-12-31 Sequel Corporation Anodizing rack and clamps
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW309692B (en) * 1996-02-02 1997-07-01 Applied Materials Inc Parallel plate electrode plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6447853B1 (en) * 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
WO2004079778A2 (en) * 2003-02-28 2004-09-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
KR100638875B1 (ko) 2005-07-15 2006-10-27 삼성전기주식회사 초저전력 고효율 무선 디지탈 수신기
US7743730B2 (en) 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
JP5316031B2 (ja) 2009-01-30 2013-10-16 セイコーエプソン株式会社 液体噴射装置および液体噴射方法
JP4317262B1 (ja) 2009-03-30 2009-08-19 株式会社コスモライフ 飲料ディスペンサ
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20110135915A1 (en) * 2009-11-25 2011-06-09 Greene, Tweed Of Delaware, Inc. Methods of Coating Substrate With Plasma Resistant Coatings and Related Coated Substrates
JP5045786B2 (ja) * 2010-05-26 2012-10-10 東京エレクトロン株式会社 プラズマ処理装置
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9404174B2 (en) * 2011-12-15 2016-08-02 Applied Materials, Inc. Pinned target design for RF capacitive coupled plasma
US9303311B2 (en) * 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
KR101542599B1 (ko) * 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9472379B2 (en) * 2014-06-20 2016-10-18 Applied Materials, Inc. Method of multiple zone symmetric gas injection for inductively coupled plasma
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10373810B2 (en) * 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
CN1488161A (zh) * 2000-12-29 2004-04-07 兰姆研究公司 用于等离子工艺的电极以及制造和使用此电极的方法
US20090200553A1 (en) * 2007-11-30 2009-08-13 Applied Materials, Inc High temperature thin film transistor on soda lime glass
CN102124819A (zh) * 2008-08-15 2011-07-13 朗姆研究公司 一种用于等离子体加工设备中的复合淋浴头电极总成
CN102305229A (zh) * 2011-08-31 2012-01-04 潍柴动力股份有限公司 一种内螺纹销
CN104995719A (zh) * 2013-01-25 2015-10-21 应用材料公司 具有可拆卸式气体分配板的喷淋头

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111095514A (zh) * 2017-09-12 2020-05-01 应用材料公司 具有加热的喷头组件的基板处理腔室
CN111095514B (zh) * 2017-09-12 2024-01-09 应用材料公司 具有加热的喷头组件的基板处理腔室
CN112349572A (zh) * 2019-08-09 2021-02-09 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置

Also Published As

Publication number Publication date
KR20190107194A (ko) 2019-09-18
KR102022110B1 (ko) 2019-09-17
KR102216011B1 (ko) 2021-02-15
CN107481962B (zh) 2021-09-10
TW201931955A (zh) 2019-08-01
CN106663608B (zh) 2020-10-27
KR20170116195A (ko) 2017-10-18
US9911579B2 (en) 2018-03-06
CN107481962A (zh) 2017-12-15
TWI667944B (zh) 2019-08-01
US20180190473A1 (en) 2018-07-05
US10790120B2 (en) 2020-09-29
US20200194229A1 (en) 2020-06-18
US10607816B2 (en) 2020-03-31
US20160005571A1 (en) 2016-01-07
KR20170024613A (ko) 2017-03-07
TW201608935A (zh) 2016-03-01
TWI708524B (zh) 2020-10-21
KR102013421B1 (ko) 2019-08-22
WO2016003631A1 (en) 2016-01-07

Similar Documents

Publication Publication Date Title
CN106663608A (zh) 具有可拆卸高电阻率气体分配板的喷淋头
US11130142B2 (en) Showerhead having a detachable gas distribution plate
US10886107B2 (en) Extended detachable gas distribution plate and showerhead incorporating same
US10727092B2 (en) Heated substrate support ring

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant