CN106328539A - 多栅极器件及其制造方法 - Google Patents

多栅极器件及其制造方法 Download PDF

Info

Publication number
CN106328539A
CN106328539A CN201610124431.8A CN201610124431A CN106328539A CN 106328539 A CN106328539 A CN 106328539A CN 201610124431 A CN201610124431 A CN 201610124431A CN 106328539 A CN106328539 A CN 106328539A
Authority
CN
China
Prior art keywords
layer
epitaxial layer
fin
epitaxial
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610124431.8A
Other languages
English (en)
Other versions
CN106328539B (zh
Inventor
江国诚
蔡庆威
卡洛斯·H·迪亚兹
王志豪
连万益
梁英強
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106328539A publication Critical patent/CN106328539A/zh
Application granted granted Critical
Publication of CN106328539B publication Critical patent/CN106328539B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明描述了一种半导体器件制造的方法,该方法包括形成从衬底延伸以及具有源极/漏极区和沟道区的鳍。鳍包括具有第一组分的第一外延层和位于第一外延层上的第二外延层,第二外延层具有第二组分。从鳍的源极/漏极区去除第二外延层以形成间隙。用介电材料填充间隙。另一外延材料形成在第一外延层的至少两个表面上以形成源极/漏极部件。本发明还提供了一种多栅极半导体器件。

Description

多栅极器件及其制造方法
技术领域
本发明总体涉及半导体领域,更具体地,涉及多栅极器件及其制造方法。
背景技术
电子工业已经经历了对于更小和更快电子器件的不断增大的需求,这些更小和更快电子器件能够同时支持更多、更为复杂和先进的功能。因此,在半导体工业存在制造低成本、高性能和低功耗的集成电路(IC)的持续的趋势。迄今为止,这些目标大部分已经通过按比例缩小半导体IC尺寸(例如,最小化特征尺寸)以及因此提高制造效率和减小相关成本而实现。然而,这样的按比例缩小使半导体制造工艺的复杂性加大。因此,在半导体IC和器件中的持续的进步的实现要求在半导体制造工艺和技术中的类似的进步。
最近,已经引入多栅极器件以期通过增加栅极-沟道连接来改进栅极控制、降低截止状态电流和减小短沟道效应(SCE)。已经引入的一个这样的多栅极器件是全环栅晶体管(GAA)。GAA器件的名称源于可以在沟道区周围延伸的栅极结构,从而在两侧或四侧上提供至沟道的入口。GAA器件与传统的互补金属氧化物半导体(CMOS)工艺是兼容的,以及GAA器件的结构允许它们在保持栅极控制和减小SCE的同时显著地按比例缩小。在传统的工艺中,GAA器件提供在硅纳米线中的沟道。然而,在纳米线周围的GAA器件的制造的集成可以是有挑战性的。例如,虽然现有方法在许多方面已经满意,但是关于形成应变增强、源极/漏极形成和其他部件的挑战使得现有方法并不是在所有方面都是令人满意的。
发明内容
根据本发明的一个方面,提供了一种半导体器件制造的方法,包括:形成从衬底延伸的鳍,所述鳍具有源极/漏极区和沟道区,其中,所述鳍包括具有第一组分的第一外延层和位于所述第一外延层上的第二外延层,所述第二外延层具有第二组分;从所述鳍的所述源极/漏极区去除所述第二外延层以形成间隙;用介电材料填充所述间隙;以及在所述介电材料填充所述间隙的同时,在所述第一外延层的至少两个表面上生长另一外延材料以形成源极/漏极部件。
优选地,该方法还包括:在所述第一外延层下面形成第三外延层;氧化所述第三外延层以形成氧化的第三外延层;其中,所述氧化的第三外延层在所述沟道区上的栅极的下方和所述源极/漏极部件的下方。
优选地,该方法还包括:从所述鳍的所述沟道区去除所述第二外延层以形成另一间隙;以及在位于所述沟道区中的所述第一外延层上形成栅极结构,其中,在所述另一间隙中形成所述栅极结构的至少部分。
优选地,该方法还包括:在形成所述鳍之前,实施到达所述衬底内部的防穿通(APT)离子注入;以及在实施所述APT离子注入之后以及在形成所述鳍之前,在所述衬底上方沉积所述第一外延层以及在所述第一外延层上方沉积所述第二外延层。
优选地,该方法还包括:通过生长硅层来形成所述第一外延层;以及通过直接在所述硅层上生长硅锗层来形成所述第二外延层。
优选地,所述第一外延层具有第一氧化速率,所述第二外延层具有大于所述第一氧化速率的第二氧化速率。
优选地,该方法还包括:形成从所述衬底延伸以及具有源极/漏极区和沟道区的另一鳍,其中,所述另一鳍包括所述第一外延层和所述第二外延层;氧化所述另一鳍的所述第二外延层,同时硬掩模层保护所述鳍;以及在所述另一鳍的所述第一外延层上生长源极/漏极外延层,其中,所述源极/漏极外延层邻近所述氧化的第二外延层。
优选地,所述氧化的第二外延层的厚度大于所述第二外延层的厚度,从而所述第一外延层在所述另一鳍的所述沟道区中的顶面低于所述第一外延层在所述另一鳍的所述源极/漏极区中的顶面。
优选地,该方法还包括:在所述鳍上形成栅极结构,其中,所述栅极结构设置在所述沟道区中的所述第一外延层的顶部、底部和相对两侧的上方。
优选地,该方法包括:在所述沟道区中的所述第一外延层的顶部、底部和相对两侧的上方形成所述栅极结构的高k栅极介电层。
根据本发明的另一方面,提供了一种制造多栅极器件的方法,所述方法包括:生长包括第一外延层、第二外延层和第三外延层的外延层堆叠件;图案化所述外延层堆叠件以形成鳍元件;在所述鳍元件上方形成伪栅极结构;将位于所述鳍的第一区和第二区中的所述第二外延层转变成介电层,其中,所述鳍的第三区介于所述第一区和所述第二区之间,其中,所述第三区位于所述伪栅极结构下面;在转变所述第二外延层之后,去除所述伪栅极结构,从而形成沟槽;以及在所述沟槽中形成金属栅极结构,其中,所述金属栅极设置在所述第一外延层和所述第三外延层的每个的多面上。
优选地,所述转变包括氧化所述第一区中的所述第二外延层。
优选地,所述转变包括:去除位于所述第一区中的所述第二外延层以形成间隙;以及用介电材料填充所述间隙。
优选地,该方法还包括:在去除所述伪栅极结构之后,从所述鳍的所述第三区去除所述第二外延层以在所述第三区中形成间隙。
优选地,所述金属栅极结构的高k介电层设置在所述第三区中的所述间隙中。
优选地,该方法还包括:在所述第一外延层、所述第二外延层和所述第三外延层下面形成第四外延层;以及氧化所述第四外延层以形成氧化物层,其中,所述氧化物层的厚度大于所述介电层的厚度。
根据本发明的另一方面,提供了一种多栅极半导体器件,包括:鳍元件,从衬底延伸;栅极结构,在所述鳍元件的沟道区上方延伸,其中,所述鳍元件的所述沟道区包括均被所述栅极结构的部分围绕的多个沟道半导体层;以及所述鳍元件的源极/漏极区,邻近所述栅极结构,其中所述源极/漏极区包括:第一半导体层、位于所述第一半导体层上方的介电层以及位于所述介电层上方的第二半导体层。
优选地,该半导体器件还包括:第三半导体层,所述第三半导体层覆盖所述第一半导体层和所述第二半导体层以及与所述介电层的侧壁交界。
优选地,所述第一半导体层包括Si,所述介电层包括氧化的SiGe,所述第二半导体层包括Si。
优选地,所述栅极结构的高K栅极电介质设置在所述多个沟道半导体层的每个之间。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1是制造根据本发明的各个方面提供的并且包括位于栅极下方的隔离区的多栅极器件及其部分的方法的流程图。
图2、图3、图4、图5、图6、图7、图8、图9、图10、图11、图12A、图13、图14、图15和图16是根据图1的方法的各个方面的器件200的实施例的等距视图;
图12B和图17至图19是根据图1的方法的各个方面的器件200的实施例的对应于以上列出的各自的等距视图的截面图;
图20是根据本发明的一个或多个方面的制造多栅极器件或其部分的另一方法的流程图;
图21至图29、图30A和图31至图34是根据图20的方法的各个方面的器件200的实施例的等距视图;
图30B、图35、图36和图37是根据图20的方法的各个方面的器件的实施例的对应于以上列出的各自的等距视图的截面图;
图38是根据本发明的一个或多个方面的制造每个n型和p型多栅极器件及其部分的方法的流程图;
图39A、图40A、图41A、图42A、图43A、图44A、图45A、图46A、图47A是根据图38的方法的各个方面的第一类型的器件的实施例的等距视图;图39B、图40B、图41B、图42B、图43B、图44B、图45B、图46B、图47B是根据图38的方法的各个方面的第二类型的器件的实施例的等距视图;
图48A、图49A、图50A是根据图38的方法的各个方面的第一类型的器件的实施例的对应于以上列出的各自的等距视图的截面图;图48B、图49B、图50B根据图38的方法的各个方面的第二类型的器件的实施例的对应于以上列出的各自的等距视图的截面图;
图51是根据本发明的一个或多个方面的制造每个n型和p型多栅极器件及其部分的方法的流程图;
图52A、图53A、图54A、图55A、图56A、图57A、图58A、图59A、图60A是根据图51的方法的各个方面的第一类型的器件的实施例的等距视图;图52B、图53B、图54B、图55B、图56B、图57B、图58B、图59B、图60B是根据图51的方法的各个方面的第二类型的器件的实施例的等距视图;
图61A、图62A、图63A是根据图51的方法的各个方面的第一类型的器件的实施例的对应于以上列出的各自的等距视图的截面图;图61B、图62B、图63B根据图51的方法的各个方面的第二类型的器件的实施例的对应于以上列出的各自的等距视图的截面图;
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在…下方”、“在…下面”、“下”、“在…之上”、“上”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作出相应的解释。
也应当注意,本发明以多栅极晶体管的形式呈现实施例。多栅极晶体管包括这些晶体管,这些晶体管的栅极结构形成在沟道区的至少两侧。多栅极器件可以包括p型金属氧化物半导体器件或N金属氧化物半导体多栅极器件。在本文中,具体实例可以呈现为并且指的是FINFET(由于它们的鳍式结构)。本文也呈现了称为全环栅(GAA)器件的多栅极晶体管类型的实施例。GAA器件包括其栅极结构或栅极结构的部分形成在沟道区的四面上(例如,包围沟道区的部分)的任何器件。本文呈现的器件也包括沟道区设置在纳米线沟道、条形沟道和/或其他合适沟道结构中的实施例。本文呈现了具有与单个、连续的栅极结构相关的的一个或多个沟道区(例如,纳米线)的器件的实施例。然而,本领域中的普通技术人员将想到应用于单个沟道(例如,单个纳米线)或任何数目的沟道的教导。本领域中的普通技术人员可以意识到可以从本发明的各个方面获益的半导体器件的其他实例。
在图1中示出的是包括制造多栅极器件的半导体制造的方法100。如本文使用的,术语“多栅极器件”用于描述具有设置在器件的至少一个沟道的多侧上的至少一些栅极材料。在一些实例中,多栅极器件可以被称为具有设置在器件的至少一个沟道的至少四面上的栅极材料的GAA器件。沟道区可以被称为“纳米线”,如本文所使用的,沟道区包括具有各个几何结构(例如,圆柱形,条形)和各个尺寸的沟道区。
图2至图11、图12A和图13至图16是根据半导体器件200的实施例在图1的方法100的各个阶段的等距视图。图12B、图17和图18是与以上列出的、半导体器件200的实施例在图1的方法的各个阶段的等距视图分别相对应的截面图。与本文讨论的其他方法实施例和实例器件一样,应当理解可以通过CMOS技术工艺流程来制造半导体器件200的各部分,因此本文仅简单描述一些工艺。此外,示例性半导体器件可以包括其他的各个器件和部件,诸如其他类型的器件(诸如额外的晶体管、双极结型晶体管、电阻器、电容器、电感器、二极管、熔丝、静态随机存取存储器(SRAM)和/或其他逻辑电路等),但是为了更好地理解本发明的发明概念,将其简化。在一些实施例中,示例性器件包括多个半导体器件(例如,晶体管),多个半导体器件包括可以互连的PFET和NFET等。此外,如本发明提供的其他方法和示例性附图一样,应当注意方法100的工艺步骤连同结合图2至图19给出的任何描述仅仅是实例性的但是并不旨在将本发明的保护范围限制在以下权利要求所具体声明的范围之内。
方法100始于框102,提供衬底。参照图2的实例,在框102的实施例中,提供衬底202。在一些实施例中,衬底202可以是半导体衬底,诸如硅衬底。衬底202可以包括形成在半导体衬底上的导电或绝缘层的各个层。取决于本领域已知的设计需求,衬底202可以包括各个掺杂配置。例如,不同的掺杂分布(例如,n阱、p阱)可以形成在衬底202中为不同器件类型(例如,n型场效应晶体管(NFET)、p型场效应晶体管(PFET))而设计的区域中。合适的掺杂可以包括掺杂剂的离子注入和/或扩散工艺。衬底202通常具有介于提供不同器件类型的各区域之间的隔离部件(例如,浅沟槽隔离(STI)部件)。衬底202也包括其他半导体,诸如锗、碳化硅(SiC)、硅锗(SiGe)或金刚石。可选地,衬底202可以包括化合物半导体和/或合金半导体。此外,衬底202可选择包括外延层(epi层),并且为了改进性能而受到应变,可以包括绝缘体上硅(SOI)结构和/或具有其他合适改进的部件。
在方法100的实施例中,在框102中,实施防穿通(APT)离子注入。例如,可以在器件的沟道区下面的区域中实施APT注入,以防止穿通或不期望的扩散。在一些实施例中,实施第一光刻(光)步骤以图案化P型APT区域,以及实施第二光刻步骤以图案化N型APT区域。例如,在一些实施例中,实施第一光刻步骤可以包括:在衬底202上方形成光刻胶层(抗蚀剂);使光刻胶曝光于图案(例如,P型APT注入掩模);实施曝光后烘烤工艺;以及显影光刻胶而形成图案化的光刻胶层。通过实例的方式,通过离子注入工艺注入以形成P型APT区域的P型掺杂剂可以包括硼、铝、镓、铟或其他P型受体材料。此后,在一些实施例中,可以实施第二光刻步骤,其中第二光刻步骤可以包括:在衬底202上方形成光刻胶层;将光刻胶曝光于图案(例如,N型APT注入掩模)、实施曝光后烘烤工艺;以及显影光刻胶以形成图案化的光刻胶层。通过实例的方式,通过离子注入工艺注入以形成N型APT区域的N型掺杂剂可以包括砷、磷、锑或其他N型供体材料。此外,在各个实施例中,APT注入可以具有例如在约1×1018cm-3和1×1019cm-3之间的高掺杂浓度。在一些实施例中,如以下讨论的,由于在经过APT注入的衬底上方随后形成隔离层(可以用作掺杂剂的扩散阻挡层)所以可以有利地使用这样高的APT掺杂剂浓度。APT注入在图2中示出为注入204。
参照图1,方法100进行至框104,其中,在衬底上生长一个或多个外延层。参考图3的实例,在框104的实施例中,在经过APT注入的衬底202上方形成外延堆叠件302。外延堆叠件302包括具有第一组分的外延层304,具有第二组分的外延层306介于外延层304之间。第一和第二组分可以是不同的。在实施例中,外延层304是SiGe,而外延层306是硅。然而,包括提供具有不同氧化速率的第一组分和第二组分的的其他实施例是可能的。例如,在各个实施例中,外延层304具有第一氧化速率,而外延层306具有小于第一氧化速率的第二氧化速率。在一些实施例中,外延层304包括SiGe并且在外延层306包括Si时,外延层306的Si氧化速率小于外延层304的SiGe氧化速率。在随后的氧化期间,如以下讨论的,外延层304的各部分可以被完全氧化,而仅外延层306可以是未被氧化的,或在一些实施例中仅稍微被氧化(例如,侧壁)。
应当注意,为了在后面的工艺步骤中易于参考,最底部外延层标记为304A。然而,在一些实施例中,外延层304A是与形成在外延层304A上方的外延层304基本上类似的材料。在实施例中,外延层304A是SiGe以及外延层304也是SiGe。在其他实施例中,外延层304A具有与外延层304和/或外延层306不同的组分。外延层304A的厚度可以大于上覆的外延层304的厚度。
外延层306及其部分可以形成多栅极器件200的沟道区。例如,外延层306可以被称为用于形成多栅极器件200(诸如GAA器件)的沟道区的“纳米线”。如下所述,这些“纳米线”也用于形成多栅极器件200的源极/漏极部件。再次,如本文所用的术语,“纳米线”指的是圆柱形以及诸如条形的其他配置的半导体层。以下进一步讨论了使用沟道的外延层306以限定器件的一个或多个沟道。
应当注意,在图3中示出三(3)层外延层304(包括304A)和三层306,这仅用于说明目的但并不旨在将本发明限制在权利要求具体声明的范围之内。应当意识到,任何数目的外延层可以形成在外延堆叠件302中;层的数目取决于用于器件200的沟道的期望的数目。在一些实施例中,外延层306的数目在2和10之间。
在一些实施例中,外延层304具有在2纳米至6纳米(nm)范围内的厚度。外延层304(在层304A之上提供的)在厚度上可以基本上是均匀的。在一些实施例中,外延层304A具有大约8nm至15nm的厚度。在一些实施例中,外延层306具有8nm至15nm的范围的厚度。在一些实施例中,堆叠件中的各外延层306在厚度上基本上是一致的。如以下详细地描述,外延层306可以用作随后形成的多栅极器件的沟道区,因此外延层306的厚度可以基于器件性能考虑来选择。外延层304用于限定随后形成的多栅极器件的邻近的沟道区之间的间隙距离,因此外延层304厚度可以基于器件性能考虑来选择。
通过实例的方式,可以通过分子束外延(MBE)工艺、金属有机化学汽相沉积(MOCVD)工艺和/或其他合适的外延生长工艺来实施堆叠件302中各层的外延生长。在一些实施例中,诸如层306的外延生长的各层包括与衬底202相同的材料。在一些实施例中,外延生长的层304、306包括与衬底202不同的材料。如上陈述,在至少一些实例中,外延层304包括外延生长的硅锗(SiGe)层以及外延层306包括外延生长的硅(Si)层。在一些实施例中,外延层304A也是SiGe。可选地,在一些实施例中外延层304和306中的任一个可包括其他材料:诸如锗;诸如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体材料;诸如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP和/或GaInAsP的合金半导体;或它们的组合。如所讨论的,外延层304、306的材料可以基于提供不同的氧化、蚀刻选择性特性来提供。在各个实施例中,外延层304、306基本上不含掺杂剂(即,具有从0cm-3至约1×1017cm-3的非本征(extrinsic)掺杂剂浓度),其中,例如,在外延生长期间不实施故意的掺杂。
也如图3的实例中所示,可以在外延堆叠件302上方形成硬掩模(HM)层308。在一些实施例中,HM层308包括氧化物层(例如,可以包括SiO2的垫氧层)和形成在氧化物层上方的氮化物层(例如,可以包括Si3N4的垫氮层)。在一些实例中,HM层308包括热生长的氧化物、CVD沉积的氧化物和/或ALD沉积的氧化物。在一些实施例中,HM层308包括通过CVD或其他合适的技术沉积的氮化物层。HM层308可以用于保护衬底202和/或外延堆叠件302的部分和/或用于限定以下示出的图案(例如,鳍元件)。
然后,方法100进行至框106,其中形成以及图案化鳍元件。参照图4的实例,在框106的实施例中,形成从衬底202延伸的多个鳍元件402。在各个实施例中,每个鳍元件402均包括由衬底202形成的衬底部分、外延堆叠件中的每个外延层(包括外延层304/304A和306)的部分以及来自HM层308的HM部分。
可以使用包括光刻和蚀刻工艺的合适工艺来制造鳍402。光刻工艺可以包括:在衬底202上方(例如,图3的HM层308上方)形成光刻胶层;将光刻胶层曝光于图案;实施曝光后烘烤工艺;以及显影光刻胶以形成包括光刻胶的掩蔽元件。在一些实施例中,可以使用电子束(e-束)光刻工艺实施图案化光刻胶以形成掩蔽元件。然后掩蔽元件可以用于保护衬底202的区域以及形成在衬底202上的各层,同时蚀刻工艺在未被保护的区域中形成穿过HM层308、穿过外延堆叠件302直至衬底202内的沟槽404,从而形成多个延伸的鳍402。可以使用干蚀刻(例如,反应离子蚀刻)、湿蚀刻和/或其他合适的工艺来蚀刻沟槽404。
也可以使用在衬底上形成鳍部的方法的许多其他实施例,例如,包括限定鳍部区(例如,通过掩模或隔离区)以及以鳍部402的形式外延生长外延堆叠件302。在一些实施例中,形成鳍部402可以包括修剪工艺以减小鳍402的宽度。修剪工艺可以包括湿或干蚀刻工艺。
方法100然后进行至框108,其中实施氧化工艺以在鳍元件内形成隔离区。参照图5,在框108的实施例中,将器件200暴露于氧化工艺,该氧化工艺完全氧化多个鳍402的每个鳍的外延层部分304A。外延层部分304A转化为氧化层502(提供隔离区/层)。在一些实施例中,氧化层502具有从约5至约25纳米(nm)范围的厚度。在一些实施例中,氧化层502可以包括硅锗的氧化物(SiGeOx)。
框108的氧化工艺可以包括形成以及图案化各个掩蔽层,使得氧化被控制在外延层304A。在其他实施例中,由于外延层304A的组分,氧化工艺是选择性氧化。在一些实例中,可以通过将器件200暴露于湿氧化、干氧化工艺或它们的组合来实施氧化工艺。在至少一些实施例中,在1ATM的压力下,在约400℃至600℃的温度范围内,将器件200暴露于湿氧化工艺(将水蒸汽或蒸汽用作氧化剂),且持续约0.5至2小时的时间。应当注意,本文提供的氧化工艺条件仅是实例,而不旨在限制。
如上所述,在一些实施例中,第一外延层部分304A可以包括具有第一氧化速率的材料,以及第二外延层部分306可以包括具有小于第一氧化速率的第二氧化速率的材料。例如,在第一外延层部分304A包括SiGe而第二外延层部分306包括Si的实施例中,较快的SiGe氧化速率(即,相比于Si)确保SiGe层(第一外延层部分304A)被完全氧化而其他外延层304的氧化被最小化或消除。将理解,以上讨论的多个材料中的任一个均可以选择用作提供不同的合适的氧化速率的第一和第二外延层部分。
每个鳍元件402的产生的氧化物层502可以用作对先前注入至衬底202内的APT掺杂剂(可以存在于直接位于氧化物层502下面的衬底202中)的扩散阻挡层。因此,在各个实施例中,氧化物层502用于阻挡衬底部分202内的APT掺杂剂扩散至例如上面的外延层306(可以用作随后形成的多栅极器件的沟道区)内。在其他实施例中,省略氧化层502。
方法100进行至框110,其中在鳍元件之间形成浅沟槽隔离(STI)部件。参照图6的实例,STI部件602设置在鳍402之间。例如,在一些实施例中,首先在衬底202上方沉积介电层,用介电材料填充沟槽404。在一些实施例中,介电层可以包括SiO2、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)、低k电介质、它们的组合和/或其他本领域已知的合适的材料。在各个实例中,可以通过CVD工艺、次常压CVD(SACVD)工艺、可流动的CVD工艺、ALD工艺、PVD和/或其他合适的工艺来沉积介电层。在一些实施例中,在介电层的沉积之后,可以对器件200进行退火以例如提高介电层的质量。在一些实施例中,介电层(以及随后形成的STI部件602)可以包括多层结构,例如,具有一个或多个衬垫层。
在形成STI部件中,在介电层的沉积之后,例如通过化学机械抛光(CMP)工艺,减薄和平坦化沉积的介电材料。CMP工艺可以平坦化顶面由此形成STI部件602。如图6所示,在一些实施例中,用于平坦化器件200的顶面以及形成STI部件602的CMP工艺也可以用于从多个鳍元件402的每个鳍元件处去除HM层308。在一些实施例中,可选择使用合适的蚀刻工艺(例如,干或湿蚀刻)来实施HM层308的去除。
继续方法100的框110,使介于鳍元件的隔离部件凹进。参照图7的实例,使STI部件602凹进从而使鳍在STI部件602之上延伸。在一些实施例中,凹进工艺可以包括干蚀刻工艺、湿蚀刻工艺和/或它们的组合。在一些实施例中,控制凹进的深度(例如,通过控制蚀刻时间)以导致鳍元件402的暴露的上部的期望的高度‘H’。高度‘H’暴露了外延堆叠件302的每个层。虽然图7示出STI部件602的凹槽基本上与隔离结构502的顶面共平面,在其他实施例中,可能不需要如此。
然后方法100进行至框112,其中形成牺牲层/部件。在一些实施例中,形成伪介电层和/或伪栅极结构。例如,框112可以包括伪氧化物沉积,随后为伪栅极结构的沉积。虽然该讨论涉及替换栅极工艺,通过该工艺伪栅极结构形成以及随后被替换,但是其他配置可以是可能的。
参照图8,在衬底202上形成介电层802。在一些实施例中,介电层802可以包括SiO2、氮化硅、高K介电材料或其他合适的材料。在各个实例中,可以通过CVD工艺、次常压CVD(SACVD)工艺、可流动的CVD工艺、ALD工艺、PVD和/或其他合适的工艺来沉积介电层802。例如,介电层802可以用于防止随后的处理(例如,随后的伪栅极结构的形成)对鳍元件402造成损坏。也可以如下所述的沉积包括这些包括图9的伪栅极结构902的额外的伪(例如,牺牲)层。
现参照图9,在框112的进一步实施例中,实施伪栅极堆叠件的制造和处理。使用图9的实例,形成栅极堆叠件902。在实施例中,栅极堆叠件902是伪(牺牲)栅极堆叠件,其随后被去除,如方法100的框122所讨论的。(然而,参考上文,在方法100的一些实施例中,栅极堆叠件902或其部分例如可以被保持,例如,可以是高K/金属栅极堆叠件)。在这样的实施例中,可以在诸如步骤122的高K金属栅极堆叠件的形成之前实施方法100的某些步骤。)
因此,在一些使用后栅极工艺的实施例中,栅极堆叠件902是伪栅极堆叠件以及在器件200的随后的处理阶段将被最终栅极堆叠件替代。具体地,如下所述,栅极堆叠件902可以在随后的处理阶段被高K介电层(HK)和金属栅电极(MG)替代。在一些实施例中,栅极堆叠件902形成在衬底202上方,并且至少部分地设置在鳍元件402上方。鳍元件402位于栅极堆叠件902上面的部分可以被称为沟道区。栅极堆叠件902也限定鳍元件402的源极/漏极区,例如,鳍和外延堆叠件302中邻近且位于沟道区的相对两侧的区域。
在一些实施例中,栅极堆叠件902包括介电层802、电极层904和可以包括层908和910(例如,氧化物层908和氮化物层910)的硬掩模906。在一些实施例中,介电层802不包括在栅极堆叠件902中,例如,在栅极堆叠件902的沉积之前被去除。在一些实施例中,额外的伪栅极介电层(除了介电层802之外或替代介电层802)包括在栅极堆叠件902中。通过诸如层沉积、图案化、蚀刻以及其他合适的处理工艺的各个处理步骤来形成栅极堆叠件902。示例性层沉积工艺包括CVD(包括低压CVD和等离子增强CVD)、PVD、ALD、热氧化、电子束蒸发或其他合适的沉积工艺或它们的组合。在形成栅极堆叠件中,例如,图案化工艺包括光刻工艺(例如,光刻或电子束光刻),光刻工艺可以进一步包括光刻胶涂覆(例如,旋涂)、软烘烤、掩模对准、曝光、曝光后烘烤、光刻胶显影、冲洗、干燥(例如,脱水和/或硬烘烤)、其他合适的光刻技术和/或它们的组合。在一些实施例中,蚀刻工艺可以包括干蚀刻(例如,RIE蚀刻)、湿蚀刻和/或其他蚀刻方法。
如上所示,栅极堆叠件902可以包括额外的栅极介电层。例如,栅极堆叠件902可以包括氧化硅。可选地或额外地,栅极堆叠件902的栅极介电层可以包括氮化硅、高K介电材料或其他合适的材料。在一些实施例中,栅极堆叠件902的电极层904可以包括多晶态的硅(多晶硅)。在一些实施例中,硬掩模906包括诸如包括SiO2的垫氧层的氧化物层908。在一些实施例中,硬掩模906包括诸如包括Si3N4、氮氧化硅的垫氮(pad nitride)层的氮化物层910或可选地包括碳化硅。
如图9所示,在一些实施例中,在形成伪栅极902之后,从衬底的暴露区域处(包括未被栅极902覆盖的鳍402)去除介电层802。
方法100然后进行至框114,其中从鳍元件的源极/漏极区(例如,鳍的邻近位于栅极堆叠件下面的沟道区的区域)去除外延堆叠件的选择性外延层。如图10中所示出,在鳍402的源极/漏极区中的外延层340已经从衬底202去除。图10示出了在外延层340(图9)的位置处的间隙1002。间隙1002可以填充有周围环境的气体(例如,空气、N2)。在实施例中,通过选择性湿蚀刻工艺去除外延层340。在一些实施例中,选择性湿蚀刻工艺包括APM蚀刻(例如,氢氧化铵-过氧化氢-水混合物)。在一些实施例中,选择性去除包括氧化SiGe随后去除SiGeOx。例如,通过O3清洗提供氧化以及然后通过诸如NH4OH的蚀刻剂去除SiGeOx。在实施例中,外延层340是SiGe以及外延层306是硅,从而能够选择性去除外延层340。
方法100然后进行至框116,其中,在衬底上沉积间隔件层。间隔件层可以是形成在衬底上的共形的介电层。间隔件层可以在栅极结构的侧面上形成间隔件元件。间隔件层也可以填充如框114所描述的由于外延层的去除而形成的间隙。参照图11,间隔件层1102设置在衬底202上,包括填充鳍元件402的源极/漏极区中的各外延层之间的间隙(图10的间隙1002)。
间隔件层1102可以包括诸如氧化硅、氮化硅、碳化硅、氮氧化硅、SiCN膜、碳氧化硅、SiOCN膜和/或它们的组合的介电材料。在一些实施例中,间隔件层1102包括多层,诸如主间隔件壁、衬垫层等。通过实例的方式,可以通过使用诸如CVD工艺、次常压CVD(SACVD)工艺、可流动的CVD工艺、ALD工艺、PVD和/或其他合适的工艺的工艺在栅极堆叠件902上方沉积介电材料来形成间隔件层1102。在特定实施例中,沉积后可以是回蚀刻(例如,各向异性蚀刻)介电材料。在一些实施例中,在形成间隔件层1102之前,可以实施离子注入工艺以在半导体器件200内形成轻掺杂的漏极(LDD)部件。
在一些实施例中,参照图12A和图12B的实例,在形成间隔件层1102之后,可以回蚀刻间隔件层1102以暴露鳍元件402中邻近于但不被栅极结构902覆盖的部分(例如,源极/漏极区)。间隔件层材料可以保留在栅极结构902的侧壁上以形成间隔件元件。在一些实施例中,间隔件层1102的回蚀刻可以包括湿蚀刻工艺、干蚀刻工艺、多步骤蚀刻工艺和/或它们的组合。虽然如图12A和图12B所示,可以从暴露的外延堆叠件302的顶面和暴露的外延堆叠件302的横向表面去除间隔件层1102,但是间隔件层1102仍介于源极/漏极区中的外延堆叠件的各外延层306之间。图12B示出了对应于图12A的局部截面图。外延层306之间的间隔件层1102的厚度可以在大约2nm至6nm之间。
方法100然后进行至框118,其中,形成源极/漏极部件。可以通过实施外延生长工艺来形成源极/漏极部件,该外延生长工艺提供覆盖(cladding)外延层中保留在鳍的源极/漏极的部分的外延材料。参照图13的实例,在衬底202上且在邻近于栅极结构902并且与栅极结构902相关的鳍402中/上形成源极/漏极部件1302。源极/漏极部件1302包括通过在暴露的外延层306上外延生长半导体材料来形成的材料1302A。也就是,材料1302A形成在邻近栅极的纳米线(例如,外延层306)周围;这可以被称为在纳米线周围形成“覆盖层”。
在各个实施例中,生长的半导体材料1302A可以包括Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合适的材料。在一些实施例中,材料1302A在外延工艺期间可以是原位掺杂的。例如,在一些实施例中,外延生长材料1302A可以掺杂有硼。在一些实施例中,外延生长材料1302A可以掺杂有碳以形成Si:C源极/漏极部件,可以掺杂有磷以形成Si:P源极/漏极部件,或掺杂碳和磷以形成SiCP源极/漏极部件。在实施例中,外延层306是硅以及外延生长材料1302A也是硅。在一些实施例中,层306和1302A可以包括类似的材料,但是被不同地掺杂。在其他实施例中,外延层306包括第一半导体材料,外延生长材料1302A包括不同于第一半导体材料的第二半导体材料。
在一些实施例中,外延生长材料1302A不是原位掺杂的,而是例如实施注入工艺以掺杂外延生长材料1302A。如上所述,保留在栅极堆叠件902下面的隔离层502可以阻挡潜在的不期望的扩散。
因此,与栅极902相关的源极/漏极部件1302包括外延材料306和/或外延生长材料1302A。应当注意,可以在不使鳍402凹进的情况下形成这些部件。来自间隔件层1102的介电材料介于外延材料306。每个外延材料306(例如,纳米线)均延伸至沟道区内,因此形成多沟道、多源极/漏极区的器件。源极/漏极区中位于外延材料306之间的间隔件层1102的厚度可以大约为2nm至6nm。
方法100然后进行至框120,其中,形成层间(ILD)介电层。在特定实施例中,在形成ILD层之后,去除伪栅极堆叠件(如下所述)。参照图14的实例,在框120的实施例中,在衬底202上方形成ILD层1402。在一些实施例中,在形成ILD层1402之前,在衬底202上方也形成接触蚀刻停止层(CESL)。在一些实例中,CESL包括氮化硅层、氧化硅层、氮氧化硅层和/或本领域已知的其他材料。CESL层可以通过等离子体增强化学汽相沉积(PECVD)工艺和/或其他合适的沉积或氧化工艺来形成。CESL层1702在图17中示出。在一些实施例中,在外延生长材料1302A之后以及在ILD层1402形成之前沉积CESL层1702。在一些实施例中,ILD层1402包括诸如正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐或掺杂的氧化硅(诸如硼硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG))和/或其他合适的介电材料。ILD层1402可以通过PECVD工艺或其他合适的沉积技术来沉积。在一些实施例中,在形成ILD层1402之后,半导体器件200可以经历高热预算工艺以退火ILD层。如上所述,在高热预算工艺期间,绝缘层502可以阻挡APT掺杂剂从衬底区域内潜在扩散至器件沟道区。
在一些实例中,在沉积ILD(和/或CESL)之后,可以实施平坦化工艺以暴露栅极堆叠件902的顶面。例如,平坦化工艺包括化学机械抛光(CMP)工艺,其去除了ILD层1402中位于栅极堆叠件902上面的部分(以及CESL层,如果存在)以及平坦化半导体器件200的顶面。此外,CMP工艺可以去除位于栅极堆叠件902上面的硬掩模906以暴露电极层904,诸如多晶硅电极层。此后,在一些实施例中,可以从衬底处去除先前形成的栅极堆叠件902的剩余部件(例如,介电层802和电极层904)。在一些实施例中,可以去除电极层904,但是不去除介电层(例如,802)。从栅极堆叠件902去除电极层904(或电极层904和介电层802)产生如图14所示的沟槽1404。
如下所述,最终的栅极结构(例如,包括高k介电层和金属栅电极)随后可以形成在沟槽1404中。可以使用诸如选择湿蚀刻、选择干蚀刻或它们的组合的选择蚀刻工艺来实施伪栅极堆叠部件的去除。
方法100然后进行至框122,其中,选择性去除器件的沟道区中的外延层。在实施例中,可以去除通过去除伪栅电极而形成的沟槽内(例如,鳍中的其上或其上方将形成栅极结构的区域,或沟道区)的鳍元件中的选择外延层。参照图15的实例,从衬底202的沟道区以及沟槽1404内去除外延层304。在一些实施例中,通过选择性湿蚀刻去除外延层304。在一些实施例中,选择性湿蚀刻包括HF。在实施例中,外延层304是SiGe,以及外延层306是硅,从而可以选择性去除SiGe外延层304。应当注意,在框122的中期处理期间(例如,图15),在沟道区中的邻近的纳米线之间提供间隙1502(例如,外延层306之间的间隙1502)。间隙1502可以充满周围环境条件气体(例如,空气、氮气等)。
应当注意,如在附图中所示,外延层306(例如,纳米线)具有基本圆化的形状(例如,圆柱形)。外延层306(例如,纳米线)在源极漏极区中具有大致的条形(例如,圆柱形)。在一些实施例中,外延层306的这种形状差异是由于在每个区中的处理的数量和性质所致。例如,在沟道区,伪氧化物去除和/或高k介电沉积工艺可以形成圆形。在一些实施例中,每个区中的形状基本上类似。
方法100然后进行至框124,其中,形成栅极结构。栅极结构可以是多栅极晶体管的栅极。最终的栅极结构可以是高K/金属栅极堆叠件,然而,其他组成是可能的。在一些实施例中,栅极结构形成与多沟道区(由沟道区中的多个纳米线提供)相关的栅极。
参照图16的实例,在框124的实施例中,高K/金属栅极堆叠件1602形成在器件200的沟槽1404内。在各个实施例中,高K/金属栅极堆叠件1602包括界面层、形成在界面层上方的高K栅极介电层1604和/或形成在高K栅极介电层1604上方的高K介电层1606。如本文使用和描述的,高K电介质包括具有例如大于热氧化硅(约3.9)的介电常数的高介电常数。在高K/金属栅极堆叠件内使用的金属层包括金属、金属合金或金属硅化物。额外地,高K/金属栅极堆叠件的形成可以包括沉积以形成各个栅极材料、一个或多个衬垫层,以及一个或多个CMP工艺以去除过量的材料从而平坦化半导体器件200的顶面。
在一些实施例中,栅极堆叠件1602的界面层可以包括诸如二氧化硅(SiO2)、HfSiO或氮氧化硅(SiON)的介电材料。可以通过化学氧化、热氧化、原子层沉积(ALD)、化学汽相沉积(CVD)和/或其他合适的方法来形成界面层。栅极堆叠件1602的栅极介电层1604可以包括诸如氧化铪(HfO2)的高K介电层。可选地,栅极堆叠件1602的栅极介电层1604可以包括诸如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4,氮氧化物(SiON)、它们的组合或其他和合适的材料的其他高K介电层。高K栅极介电层1604可以通过ALD、物理汽相沉积(PVD)、CVD、氧化和/或其他合适的方法来形成。在图16、图17、图18和图19中示出栅极堆叠件1602的栅极介电层1604。高K/金属栅极堆叠件1602的金属层可以包括单层或者多层结构,诸如金属层(具有选择性功函以改进器件性能的功函金属层)、衬垫层、润湿层、粘合层、金属合金或金属硅化物的各种组合。通过实例的方式,栅极堆叠件1602的金属层可以包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、其他合适的金属材料或它们的组合。在各个实施例中,栅极堆叠件1602的金属层可以通过ALD、PVD、CVD、电子束蒸发或其他合适的工艺类形成。此外,栅极堆叠件1602的金属层可以单独地形成以用于N-FET和P-FET晶体管(其可以使用不同的金属层)。在各个实施例中,可以实施CMP工艺以从栅极堆叠件1602的金属层处去除过量的金属,从而提供栅叠件1602的金属层的基本平坦的表面。栅极堆叠件1602的金属层1606在图16、图17、图18中示出。
此外,金属层可以提供N型或P型功函数,可以用作晶体管(例如,FINFET)的栅电极,以及在至少一些实施例中,栅极堆叠件1602的金属层可以包括多晶硅层。
器件200可以实施为全环栅(GAA)器件,即,栅极结构1602形成在纳米线(例如,外延层306)的多侧。多栅极器件200在图16的等距视图以及图17(截线A)、图18(通过栅极堆叠件1602的截线C)和图19(通过源极/漏极的截线B)中对应的截面图中示出。为了便于参考图17、图18和图19,去除ILD层1402。如图17和图18所示,栅极介电层1604设置在外延层306(例如,纳米线)下面。然而,在其他实施例中,栅极结构1602的其他部分(例如,栅电极1606)也可以设置在外延层306下面。在一些实施例中,器件200可以是其栅极形成在沟道区的至少两侧上(例如,顶面和两个侧壁)的FINFET器件和/或其他本领域已知的配置。图19中的器件200示出源极/漏极部件1302,源极/漏极部件1302具有设置在外延层306(例如,纳米线)的多表面上的外延生长的覆盖层1302A,同时电介质1102设置在各外延层306之间。
半导体器件200可以经历进一步地处理以形成本领域已知的各个部件和区域。例如,随后的处理可以在衬底202上形成被配置为连接各个部件以形成可以包括一个或多个多栅极器件的功能电路的接触件开口、接触件金属以及各个接触件/通孔/线以及多层互连部件(例如,金属层和层间电介质)。在进一步的实例中,多层互连部件可以包括诸如通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各个互连部件可以采用包括铜、钨和/或硅化物的不同导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成含铜(copperrelated)的多层互连结构。此外,可以在方法100之前、器件和之后执行额外的工艺步骤,并且根据方法100的不同实施例,可以替换或消除上述的一些工艺步骤。
图20示出的是包括制造多栅极器件的半导体制造方法2000。方法2000可以基本上类似于图1的方法100,除了下面强调的一些差异外。然而,除非另有明确声明,以上参照方100描述的类似的工艺在此等同地适用。
图21至图29、图30A和图31至图34是根据图20的方法的各个阶段的半导体器件2100的实施例的等距视图。图30B、图35、图36和图37是根据图20的方法2000的各个阶段的半导体器件2100的实施例的分别对应于以上列出的的等距视图的截面图。
方法2000始于框2002,提供衬底。框2002基本上类似于上述方法100的框102。参照图21的实例,在框2002的实施例中,提供衬底202。衬底202可以基本上类似于如以上参照图2描述的。也如以上参照图1和图2所述,实施APT注入204。
参照图20,方法2000进行至框2004,其中,在衬底上生长一个或多个外延层。参照图22的实例,在框2004的实施例中,在经过APT注入的衬底202上方形成外延堆叠件2202。外延堆叠件2202包括具有第一组分的外延层304,具有第二组分的外延层306介于各外延层304之间。第一和第二组分可以是不同的。在实施例中,外延层304是SiGe,而外延层306是硅。然而,其他实施例是可能的,这些实施例中包括提供具有不同氧化速率和/或蚀刻速率的第一组分和第二组分。在各个实施例中,外延层304具有第一氧化速率,而外延层306具有小于第一氧化速率的第二氧化速率。例如,在一些实施例中,外延层304是SiGe而外延层306是Si,Si的氧化速率小于SiGe的氧化速率。在随后的氧化期间,如以下讨论的,外延层304的各部分可以被完全氧化,然而外延层306可以是未被氧化的或在一些实施例中仅是稍微被氧化(例如,侧壁)。
因此,外延堆叠件2202(以及外延层304、306)与以上参照方法100的框104和图3的外延堆叠件302所描述的类似,除了外延堆叠件2202不包括基本上形成在隔离区内的外延层(相比于外延层304A)。由此,外延堆叠件2202的每个层304具有基本上相同的厚度(例如,在10%内)。在实施例中,外延堆叠件2202的每个外延层304均具有约2至6nm的厚度。在实施例中,外延堆叠件2202的每个外延层306均具有约6至12nm的厚度。如以下更详细地描述,外延层306可以用作随后形成的多栅极器件的沟道区,以及基于器件性能考虑来选择外延层306的厚度。外延层304可以用于限定随后形成的多栅极器件的邻近的沟道区之间的间隙以及基于器件性能考虑来选择外延层304的厚度。
应当注意,在图22中示出了外延堆叠件2202中的三层外延层304和三层306,这仅用于说明目的但并不是旨在将本发明限制在权利要求具体声明的范围之内。应当意识到,任何数目的外延层可以形成在外延堆叠件2202中;层数取决于器件2100的沟道的期望的数目。在一些实施例中,外延层306的数目在2和10之间。也如图22的实例所示,可以在外延堆叠件2202上方形成硬掩模(HM)层308,HM层308与以上参照图3的HM层308讨论的基本上一致。
方法2000然后进行至框2006,其中形成鳍元件。参照图23的实例,在框2006的实施例中,形成从衬底202延伸的多个鳍元件402。在各个实施例中,每个鳍元件402均包括由衬底202形成的衬底部分、外延堆叠件302中的每个外延层(包括外延层304和306)的部分以及来自HM层308的HM部分。可以基本上类似于以上参照方法100的框106和/或图4所讨论的来形成鳍元件402。
方法2000然后进行至框2008,其中在鳍元件之间形成浅沟槽隔离(STI)部件。参照图24和图25的实例,STI部件602设置在鳍402之间然后使其凹进。STI部件602可以与以上参照方法100的框110和/或图6和图7的实例所讨论的类似。参照图25的实例,使STI部件602凹进以形成在STI部件602之上延伸的鳍402。在一些实施例中,凹进工艺可以包括干蚀刻工艺、湿蚀刻工艺和/或它们的组合。在一些实施例中,控制凹进的深度(例如,通过控制蚀刻时间)以形成鳍元件402的暴露的上部的期望的高度‘H’。高度‘H’暴露外延堆叠件302的各层。
方法2000然后进行至框2010,其中,形成包括伪栅极结构的牺牲层。框2010可以基本上类似于方法100的框112。参照图26和图27,在器件2100上形成介电层802和栅极结构902(例如,伪栅极结构)。介电层802和/或栅极结构902可以基本上与以上参照框112和图8和图9的所讨论的类似。
方法2000然后进行至框2012,可以从邻近栅极堆叠件的鳍部区域(也被称为鳍的源极/漏极区,因为其是鳍的随后将形成为与多栅极器件2100相关的源极/漏极部件的部分)去除外延堆叠件的选择外延层。如图28中所示,已经从衬底202处去除鳍402的源极/漏极区中的外延层304,以在外延层304的位置处提供间隙1002。间隙1002可以填充有周围环境气体(例如,空气、N2)。框2012可以分别与以上参照图1和图10所讨论的基本上类似。
方法2000然后进行至框2014,在衬底上沉积间隔件层。框2014可以基本上类似于以上参照图1和图11描述的方法100的框116。间隔件层可以是包括以上讨论的包括硅的介电材料的任何介电材料。示例性图29示出设置在鳍402上并且填充间隙1002的间隔件层1102。在一些实施例中,参照图30A和图30B的实例,在形成间隔件层1102之后,可以回蚀刻间隔件层1102以暴露鳍元件402中邻近于但不被栅极结构902覆盖的部分(例如,源极/漏极区)。示例性图30A和图30B可以与以上参照图12A和图12B所讨论的基本上类似。如以上所述,如图30A和图30B所示,虽然间隔件层1102可以从外延堆叠件2202的顶面和外延堆叠件2202的横向表面去除,但是间隔件层1102仍然介于源极/漏极区中的外延堆叠件302的各外延层306之间。图30B示出了对应于图30A的局部截面图。各外延层306之间的间隔件层1102的厚度可以在大约2nm至6nm之间。此外,如图30A所示,在回蚀刻间隔件层1102之后,可以使STI部件602进一步轻微的凹进,使得STI部件602的顶面低于最底部的外延层304(例如,最底部的SiGe层)的底面或基本上与最底部外延层304的底面对准。
方法2000然后进行至框2016,其中形成源极/漏极部件。框2016基本上类似于以上参照图1描述的方法100的框118。如上所述,可以通过实施外延生长工艺来形成源极/漏极部件,该外延生长工艺提供覆盖(cladding)鳍的源极/漏极中的外延层的暴露部分的外延材料。例如,外延材料可以覆盖源极/漏极区中除了介电间隔件材料设置在外延层(或纳米线)之间的位置外的外延层或纳米线。参照图31的实例,在衬底202上在邻近栅极结构902的鳍402上形成源极/漏极部件1302。源极/漏极部件1302包括通过在外延层306中邻近栅极结构902的区域上外延生长半导体材料而形成的材料1302A。也就是,材料1302A形成在邻近栅极的纳米线(例如,外延层306)周围;这可以被称为在纳米线周围形成“覆盖层”。包括外延材料1302A的源极/漏极部件1302可以与以上参照图13讨论的类似。
方法2000然后进行至框2018,形成层间(ILD)介电层。在一些实施例中,也形成CESL层。在一些实施例中,随后可以从衬底去除栅极结构。框2018基本上类似于以上参照图1和图14的实例描述的方法100的框120。参照图32的实例,在衬底202上方形成ILD层1402。如图32示出的,从栅极堆叠件902去除电极层904(或者是电极层904和介电层802)产生沟槽1404。虽然图32示出在沟槽1404中设置介电层802的中间工艺,但是在其他实施例中,还可去除介电层802。
方法2000然后进行至框2020,提供选择性地去除器件的沟道区中的外延层。在一些实施例中,去除鳍的区域(在该区域上或上方将形成栅极结构)中的所选外延层。框2020基本上类似于图1的方法100的框122和/或图15的实例。参照图33的实例,从沟槽1404内的衬底202的沟道区处去除外延层304。如上所述,在实施例中,外延层304是SiGe而外延层306是硅,从而能够选择性去除SiGe外延层304。应当注意,在框2020期间(例如,图33),沟道区中的邻近的纳米线之间提供间隙1502(例如,外延层306之间的间隙1502)。
方法2000然后进行至框2022,形成栅极结构。框2022基本上类似于以上参照图1和/或图16、图17、图18和图19的实例描述的图1的方法100的框124。参照图34的实例,在框2022的实施例中,在器件2100的沟槽1404内形成高K/金属栅极堆叠件1602。栅极堆叠件1602可以基本上与以上讨论的类似。
由此,器件2100可以用作多栅极器件(包括全环栅(GAA)器件,即,栅极结构1602形成在纳米线(外延层304)的多侧上)。多栅极器件2100在图34的等距视图以及图35(截线A)、图36(穿过栅极结构1602的截线C)和图37(穿过源极/漏极的截线B)中的相应截面图中示出。在图35、图36和图37中,为了易于参考,去除ILD层1402。如图35和图36所示,栅极介电层1604设置在沟道区中的外延层306(例如,纳米线)下面。然而,在其他实施例中,栅极结构1602的其他部分(例如,栅电极1606)也可以设置在沟道区中的外延层306下面。在一些实施例中,器件2100可以是其栅极形成在沟道区的至少两侧(例如,顶面和两侧壁)上的FINFET器件和/或本领域已知的其他配置。
器件2100不同于器件200,例如,省略了存在于器件200中的隔离区(参见隔离层502)。这可以在工序步骤和时间方面提供优势。在一些实施例中,多栅极器件的性能考虑使隔离层不是必需的。也如以上参照方法100和示例性器件200描述的,可以参照方法200和器件2100实施进一步处理。
图38中示出的是包括制造多栅极器件的半导体制造方法3800。方法3800可以基本上类似于图1的方法100和/或图20的方法2000,除了以下强调的一些不同外。因此,除非另有声明,以上参考方法100和/或方法2000提供的类似的工艺的描述在此同样适用。图38也示出了制造两种类型的器件(n型和p型晶体管,可以形成在同一衬底上)的并行阶段。
图21、图22、图23、图24、图25、图26和图27是半导体器件2100的实施例在方法3800的不同阶段的等距视图(这些图可以同时地和/或基本上类似地应用于两种类型器件的处理)。在图27、图39A、图39B、图40A、图40B、图41A、图41B、图42A、图42B、图43A、图43B、图44A、图44B、图45A、图45B、图46A、图46B、图47A和图47B示出对应于图38的方法3800的各个阶段的后续阶段。图48A、图48B、图49A、图49B、图50A和图50B是分别对应于以上列出的等距视图的截面图。应当注意,标记“A”的图,例如,图39A表示第一类型的器件(例如,p型场效应晶体管)以及标记“B”的图表示在相应阶段的第二类型的器件(例如,n型FET)。通过例如“A”图表示的和通过“B”图表示的每个器件类型可以形成在同一衬底(例如,衬底202)上。一个或多个隔离部件(例如,STI)可以介于第一类型的器件和第二类型的器件之间。
方法3800始于框3802,提供衬底。框3802基本上类似于以上参照图20和图21描述的方法2000的框2002,图20和图21是提供衬底202的实例。方法3800然后进行至框3804,其中,在衬底上生长一个或多个外延层。框3804基本上类似于以上参照图20描述的框2004。图22是外延堆叠件2202的实例以及在衬底202上方形成HM层308。
方法3800然后进行至框3806,其中,形成鳍元件。框3806基本上类似于以上参照图20描述的方法2000的框2006。图23是从衬底202延伸的多个鳍元件402的相似的实例。方法3800然后进行至框3808,在鳍元件之间形成浅沟槽隔离(STI)部件。框3808基本上类似于以上参照图20描述的方法2000的框2008。图24和图25是设置在鳍402之间以及随后使其凹进的STI部件602的相同的实例。
方法3800然后进行至框3806,其中,在衬底上形成包括伪栅极结构的牺牲层或伪层。在实施例中,伪电介质(例如,氧化物)和伪栅极结构形成在鳍上。框3810基本上类似于方法2000的框2020。图26和图27是示例性的以及包括形成介电层802和栅极结构902(例如,伪栅极结构)。
方法3800然后进行至框3812,氧化位于第二类型的器件上的外延堆叠件的所选外延层,同时通过掩蔽层保护第一类型的器件的鳍。在实施例中,通过诸如硬掩模的掩蔽层覆盖对应于PFET的鳍或鳍区域。在一些实施例中,掩蔽层包括硬掩模,硬掩模具有氧化物层(例如,可以包括SiO2的垫氧层)和/或氮化物层(例如,可以包括Si3N4的垫氮层)。在一些实施例中,掩蔽层包括热生长氧化物、CVD沉积氧化物和/或ALD沉积的氧化物。在一些实施例中,掩蔽层包括通过CVD或其他合适的技术沉积的氮化物层。
在保护第一类型的器件的鳍的同时,实施氧化工艺,使得氧化(例如,完全氧化)第二类型的器件的外延堆叠件的所选外延层。在一些实施例中,第二类型的器件的外延堆叠件的SiGe外延层被氧化(例如,完全氧化)。包括第一和第二类型的器件的衬底可以经受湿氧化工艺、干氧化工艺或它们的组合。在至少一些实施例中,将水蒸气或蒸气作为氧化剂,将器件暴露于湿氧化工艺。
参照框3812的图39A的实例,第一类型的器件(例如,PFET)具有设置在其上的硬掩模层3902。如图39B所示,HM层3902设置在第一类型的器件上的同时,对第二类型的器件(例如,NFET)的鳍的源极/漏极区的外延层304实施氧化工艺。氧化工艺提供氧化层3904。在一些实施例中,外延层304、306的材料具有不同的氧化速率以允许选择性氧化工艺。例如,在一些实施例中,外延层304是SiGe,而外延层306是硅。SiGe可以以比Si快很多的速率被氧化。再次使用图39A作为实例,在实施例中,外延层304是SiGe从而产生为SiGeOx的氧化层3904。在一些实施例中,外延层306不被氧化。
在一些实施例中,外延层304完全被氧化以形成氧化层3904。在一些实施例中,例如,如图22至图27所示,位于源极/漏极区中的外延层304的厚度在约2至6nm之间。一旦外延层304被氧化,该层可以扩展以提供氧化层3904的厚度,例如,在一些实施例中,氧化层3904的厚度在约5至25nm之间。这样的扩展可以提供施加于鳍402的源极漏极区中的外延层306的应力(例如,导致邻近的层的弯曲)。这在图48B的截面图中示出。在图48B中,由于氧化层3904的厚度增加,因此外延层306在沟道区(栅极下)和源极/漏极区之间不再是共平面的或共直线的。应当注意,外延层306在源极/漏极区和沟道区之间保持基本上一致的厚度(例如,在6和12nm之间)。这可以提供器件的应变增强,这对于例如NMOS器件可以是有益的。如上所述,在一些实施例中,第二类型器件(通过图“B”示出)是NFET。
在一些实施例中,框3810继续以提供位于第一类型的器件上的硬掩模层的去除。使用图40A/40B的实例,从衬底202去除硬掩模层3902。
方法3800然后进行至框3814,从第一类型的器件(例如,PFET)的鳍中的鳍元件源极/漏极区处去除外延堆叠件中的所选外延层。如图41A/41B所示,已经去除第一类型的器件的鳍402的源极/漏极区中的外延层304。第二类型器件(图41B)基本上保持未改变。由于第二类型器件中的暴露的外延层304已经被氧化形成氧化层3904,因此,蚀刻对外延层304可以具有选择性,导致在第一类型的器件中外延层304的去除。在其他实施例中,实施适合的图案化和掩蔽步骤。图41A示出位于去除的外延层304(图40)的位置处的间隙1002。间隙1002可以填充有周围环境气体(例如,空气、N2)。框3814和间隙1002分别类似于以上参照图1和图10所描述的。
方法3800然后进行至框3816,在衬底上和两种类型的器件的鳍上方沉积间隔件层。图42A/42B示出了设置在鳍402上的间隔件层1102。对于第一类型的器件,图42A示出了间隔件层1102形成在鳍上,包括形成在间隙1002中。框3816基本上类似于以上参照图1和图11的方法100的框116和/或基本上类似于以上参照图20的方法2000的框2014。在一些实施例中,参照图42A/42B的实例,在形成间隔件层1102之后,可以回蚀刻间隔件层1102以暴露鳍元件402的邻近于但不被栅极结构902覆盖的部分(例如,源极/漏极区)。图43A的实例可以基本上类似于以上参照12A和图12B所讨论的。如上所述,尽管可以从外延堆叠件2202的顶面和外延堆叠件2202的横向表面去除间隔件层1102,但是间隔件层1102仍介于第一类型的器件的源极/漏极区中的外延堆叠件2202的外延层306之间。位于第一类型的器件的鳍元件402中的外延层306之间的间隔件层1102的厚度可以在大约2nm至6nm之间。同时参照第二类型的器件,图43B示出回蚀刻间隔件层1102使得间隔件层1102保留在栅极结构902的侧壁上,从而形成间隔件元件。
方法3800然后进行至框3818,在每个类型器件的源极/漏极区中形成源极/漏极部件。框3818基本上类似于以上参照图20和图31描述的方法2000的框2016和/或基本上类似于以上参照图1和图13描述的方法100的框118。如上所述,可以通过实施外延生长工艺来形成源极/漏极部件,该外延生长工艺提供覆盖(cladding)外延层在鳍的源极/漏极中的部分的外延材料。框3818中生长的外延材料与使其生长在上方的层(例如,外延层306)的外延材料可以相同或不同。参照图44A/44B的实例,对于每个器件类型,源极/漏极部件4402和4404形成在邻近栅极堆叠件902的鳍元件402上。
参照图44A的实例,源极/漏极部件4402包括通过在外延层306中邻近于栅极结构902的区域上外延生长半导体材料层而形成的材料4402A。也就是,材料4402A形成在邻近栅极的纳米线(例如,外延层306)周围;这可以被称为在纳米线周围形成“覆盖层”。包括外延材料4402A的源极/漏极部件4402可以与以上参照图13讨论的源极/漏极材料1302A基本类似。外延材料4402A可以适当地被掺杂以提供第一类型器件(例如,PFET)的源极/漏极部件。
参照图44B的实例,源极/漏极部件4404包括通过在外延层306中邻近于栅极结构902的区域上外延生长半导体材料层而形成的材料4404A。也就是,材料4404A形成在邻近栅极的纳米线(例如,外延层306)周围;这可以被称为在纳米线周围形成“覆盖层”。包括外延材料4404A的源极/漏极部件可以与以上参照图13讨论的源极/漏极材料1302A基本类似。用于4404A和4402A的材料结合相关的器件类型(n型,p型)可以有适当的组分或适当地掺杂。因此,在实施例中,材料4404A和4402A在组成和掺杂中的至少一个上是不同的。例如,在一些实施例中,外延材料4402A提供适用于PFET器件的源极/漏极材料;外延材料4404A提供适用于NFET器件的源极/漏极材料。因此,源极/漏极部件4402和4404可以在相同或不同的工艺中形成。
方法3800然后进行至框3820,形成层间(ILD)介电层。在一些实施例中,也形成CESL层。在一些实施例中,随后可以从衬底去除栅极结构。框3820基本上类似于以上参照图1和图14的实例描述的方法100的框120和/或基本上类似于图20的方法2000的框2018和图32的实例。参照图45A/45B的实例,在衬底202上方形成ILD层1402。如图45A/45B示出的,从栅极堆叠件902去除电极层904(或者电极层904和介电层802)产生沟槽1404。虽然图45A示出在沟槽1404中设置介电层802的中间工艺,但是在其他实施例中,还可去除介电层802。
方法3800然后进行至框3822,选择性地去除位于器件的沟道区中的外延层。在一些实施例中,将鳍元件中其上或上方将形成栅极结构的区域(也就是沟道区)中的所选外延层去除。可以同时地或在单独的步骤中(包括合适的掩蔽元件)实施两种类型的器件的该选择性去除。框3822基本上类似于图20的方法2000的框2020和/或图33的实例,和/或基本上类似于以上参照图1的方法100的框122和/或图15的实例。参照图46A/46B的实例,对于两种类型的器件(n型,p型),从衬底202的沟道区以及沟槽1404内去除外延层304。如上所述,在实施例中,外延层304是SiGe而外延层306是硅,从而能够选择性地去除SiGe外延层304。应当注意,在框3822的处理阶段期间(例如,图46A/46B),在沟道区中的邻近的纳米线之间提供间隙1502(例如,各外延层306之间的间隙1502)。
方法3800然后进行至框3824,形成一个或多个栅极结构。形成的栅极结构可以包括高K/金属栅极堆叠件。框3824可以基本上类似于以上参照图20、图34、图35、图36和图37描述的方法2000的框2022和/或可以基本上类似于以上参照图1和/或图16、图17、图18和图19的实例描述的方法100的框124。由于每个类型的器件提供相关的功函数,因此用于第一类型器件而形成的栅极结构在组成、配置、层数等方面可以与用于第二类型器件的栅极结构的不同。参照图47A的实例,在框3824的实施例中,在第一类型的器件的沟槽1404内形成栅极堆叠件4702。栅极堆叠件4702可以包括界面层、栅极介电(例如,高k)层4706和栅电极(例如,金属栅极)4710。参照图47B的实例,在框3824的实施例中,在第二类型的器件的沟槽1404内形成栅极堆叠件4704。栅极堆叠件4704可以包括界面层、栅极介电(例如,高k)层4706和栅电极(例如,金属栅极)4712。栅极堆叠件4702和4704可以包括不同的组成和/或在不同工艺(用于形成界面层、高k层和栅电极的工艺)期间形成。栅极堆叠件4702为第一类型器件(例如,PFET)提供合适的功函数。栅极堆叠件4704为第二类型器件(例如,NFET)提供合适的功函数。例如,栅极堆叠件4702和4704的金属层可以包括相同或不同的组分,包括选自由Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、其他合适的金属材料或它们的组合组成的组中的这些组分。
因此,在一些实施例中,方法3800提供第一类型的器件4700。在一些实施例中,器件4700是PFET器件。在一些实施例中,方法3800提供第二类型的器件4710。在一些实施例中,器件4710是NFET器件。器件4700和4710可以提供在同一衬底上并且一起工作以形成集成电路。如上所述,方法3800和/或器件4710的一些实施例的优势是由介于覆盖的外延源极/漏极之间的氧化层(参见氧化层3904)而提供的应变增强。
器件4700和/或4710可以用作全环栅(GAA)器件,相应的栅极结构4702/4704形成在沟道区中的纳米线(外延层306)的多侧上。多栅极器件4700在图47A的等距视图以及图48A(截线A)、图49A(通过栅极结构4702的截线B)、图50A(通过源极/漏极的截线C)的对应截面图中示出。多栅极器件4710在图47B的等距视图以及图48B(截线A)、图49A(通过栅极结构4702的截线B)、图50B(通过源极/漏极的截线C)的对应截面图中示出。为了便于参考图48A、图49A和图50A去除ILD层1402。如48A、图49A、图48B和图49B所示,栅极介电层4706设置在外延层306(例如,纳米线)下面。然而,在其他实施例中,相应的栅极结构4702、4704的其他部分(例如,栅电极4710、4712)也可以设置在外延层306下面。在一些实施例中,器件4700和/或4710可以是其栅极形成在沟道区的至少两侧(例如,顶部和两侧壁)上FINFET器件和/或本领域已知的其他配置。图50A和图50B中的器件4700和4710示出源极/漏极部件4402/4404具有设置在外延层306(例如,纳米线)的多个表面上的外延生长的覆盖层4402A、4404A,同时介电材料(分别是间隔件1102和氧化层3904)插介于源极/漏极区的各外延层306之间。
半导体器件4700和4710可以经历进一步地处理以形成本领域已知的各个部件和区域。例如,随后的处理可以在衬底202上形成被配置为连接各个部件以形成可以包括一个或多个多栅极器件的功能电路的接触件开口、接触件金属以及各个接触件/通孔和线以及多层互连部件(例如,金属层和层间电介质)。在一些实施例中,互连部件电连接器件4700和4710。又例如,多层互连件可以包括诸如通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各个互连部件可以采用包括铜、钨和/或硅的各个导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成含铜的多层互连结构。此外,可以在方法3800之前、器件和之后执行额外的工艺步骤,以及根据方法3800的不同实施例可以替换或省略上述的一些工艺步骤。
图51示出的是包括多栅极器件制造的半导体制造方法5100。方法5100可以基本上类似于图1的方法100、图20的方法2000和/或图38的方法3800,除了下面强调的一些差异外。然而,除非另有明确声明,以上参照方100、方法2000和/或方法3800提供的类似的工艺和元件(通过共同的数字标号示出)在此等同地适用。类似以上的方法3800,方法5100示出了用于第一和第二类型的器件的同时发生的工艺阶段。然而,在一些实施例中,方法5100也提供在鳍的沟道和源极/漏极下面形成隔离层以阻挡两种类型的器件中不希望的扩散。
图2至图9是也适用于方法5100的各个阶段的半导体器件的实施例的等距视图(这些图可以应用于同时发生和/或基本上类似的两种类型器件的处理)。图9、图52A、图52B、图53A、图53B、图54A、图54B、图55B、图55A、图56A、图56B、图57A、图57B、图58A、图58B、图59A、图59B、图60A和图60B中的后续阶段对应于图51的方法5100的各个阶段。图61A、图61B、图62A、图62B、图63A和图63B是对应于以上列出的相应等距视图的截面图。这些图提供了实现方法5100对于形成在半导体衬底上的不同类型(例如,n型和p型)的器件的教导的方法。应当再次注意,标记“A”的图(例如,图52A表示第一类型的器件(例如,p型FET))以及标记“B”的图(例如,图52B)表示在相应阶段的第二类型的器件(例如,n型FET)。例如通过“A”图表示的和通过“B”图表示的每个器件类型可以形成在同一衬底(例如,衬底202)上。一个或多个隔离部件(例如,STI)可介于第一类型的器件和第二类型的器件之间。
方法5100始于框5202、5204、5206、5208、5210和5212,提供衬底以及包括形成外延堆叠件、鳍元件、氧化层、浅沟槽隔离部件和伪栅极的步骤。这些框5202、5204、5206、5208、5210和5212中的每个分别基本上类似于以上参照图1、图2、图3、图4、图5、图6、图7、图8和图9描述的方法100的框102、框104、框106、框108、框110和框112。虽然示出的是单个的示例性器件,但是对于第一类型的器件和第二类型的器件,这些框中的一个或多个处理可以同时地实施。
类似于以上参照方法100中的框108,方法5100在框5108处提供在鳍中形成绝缘层。被示出为氧化层502的绝缘层可以用作先前注入至衬底202内的APT掺杂剂的扩散阻挡层,APT掺杂剂可以存在于直接位于氧化层502的下面的衬底202中。因此,在各个实施例中,氧化层502用于阻止衬底部分202中的APT掺杂剂扩散至例如上面的外延层306(其可以用作随后形成的多栅极器件的沟道区)内。应当注意,氧化层502形成以用于每个器件类型(n型和p型)。然而,在其他实施例中,氧化层502可以仅提供在单个器件类型上,而其他器件类型可以在没有氧化层的情况下被处理(例如,如在以上分别参照图20和图38描述的方法2000和/或方法3800中所示出的)。在一些实施例中,在方法5100的框108中形成的氧化层(参见例如图6)大约为5和15nm之间。
在方法5100实施框5112之后,方法5100进行至框5114,其中,氧化第二类型的器件上的外延堆叠件的所选外延层,同时通过掩蔽层保护第一类型的器件的鳍。在实施例中,通过掩蔽层覆盖对应于PFET的鳍或鳍区域,同时氧化NFET的源极/漏极区的所选外延层。在一些实施例中,掩蔽层包括硬掩模,硬掩模具有氧化物层(例如,可以包括SiO2的垫氧层)和/或氮化物层(例如,可以包括Si3N4的垫氮层)。在一些实施例中,掩蔽层包括热生长氧化物、CVD沉积氧化物和/或ALD沉积的氧化物。在一些实施例中,掩蔽层包括通过CVD或其他合适的技术沉积的氮化物层。
在保护第一类型的器件的鳍的同时,对第二类型的器件的鳍的所选外延层实施氧化工艺。在一些实施例中,氧化(例如,完全氧化)第二类型的器件的区域中的鳍上的外延堆叠件的SiGe外延层。包括第一和第二类型的器件的衬底可以经受湿氧化工艺、干氧化工艺或它们的组合。在至少一些实施例中,将水蒸气或蒸气作为氧化剂,将器件暴露于湿氧化工艺。
参照图52A的实例,第一类型的器件(例如,PFET)具有设置在其上的硬掩模层3902。如图52B所示,HM层3902设置在第一类型的器件上的同时,对第二类型的器件的源极/漏极区的外延层304实施氧化工艺。在一些实施例中,外延层304、306的材料具有不同的氧化速率从而允许选择性氧化工艺。例如,在一些实施例中,外延层304是SiGe,而外延层306是Si。SiGe可以以比Si快得多的速率被氧化。再次使用图52A作为实例,在实施例中,外延层304是SiGe从而产生SiGeOx的氧化层3904。在一些实施例中,外延层306不被氧化。如上所述,在一些实施例中,预先氧化第二类型器件中的底部外延层304以形成氧化层502。在一些实施例中,氧化层502具有的厚度比氧化层3904的厚度厚。在一些实施例中,氧化层502和氧化层3904均是SiGeOx。
在实施例中,例如,如图2至图9所示,在源极/漏极区中的外延层304的厚度在约2至6nm之间。一旦第二类型器件的源极/漏极区中的外延层304被氧化,该层可以扩展以提供在约5至15nm之间的氧化层3904的厚度。该扩展可以提供施加于第二类型器件的鳍402的源极漏极区的外延层306的应力(例如,导致弯曲)。这在图62B的截面图中示出,其中,外延层306在沟道区(栅极下)和源极/漏极区之间不再是共平面的或共直线的。应当注意,外延层306在源极/漏极区和沟道区之间保持基本上一致的厚度(例如,在6和12nm之间)。这可以增强器件的应变,这对于例如NMOS器件可以是有益的。在第二器件区中的底层、氧化层502可以厚于氧化层3904。在一些实施例中,在第二器件区中的氧化层502的厚度在5至25nm之间
在一些实施例中,框5114继续以去除位于第一类型器件上的硬掩模层。使用图53A/53B的实例,从衬底202去除硬掩模层3902。
方法5100然后进行至框5116,从第一类型的器件(例如,PFET)的鳍中的邻近栅极堆叠件的鳍区域,即,源极/漏极区去除外延堆叠件的所选外延层。框5116基本上类似于以上参照图38描述的方法3800的框3814、图1的方法100的框114和/或图20的方法2000的框2012。如图54A/54B所示,已经从衬底202去除第一类型的器件的鳍402的源极/漏极区中的外延层304(图54A)。第二类型器件(图54B)基本保持不变。图54A示出了位于外延层304(图53A)的位置处的间隙1002。间隙1002可以填充有周围环境气体(例如,空气、N2)。在一些实施例中,外延层304和氧化层3904(氧化的外延层304)之间的选择性允许从第一类型器件去除外延层304。
方法5100然后进行至框5118,在衬底上、两种类型的器件的鳍上方沉积间隔件层。框5100基本上类似于以上参照图38的方法3800的框3816、以上参照图1和图11描述的方法100的框116和/或基本上类似于以上参照图20的方法2000的框2014。图55A/55B示出了设置在鳍402上的间隔件层1102。对于第一类型的器件,图55A示出了间隔件层1102形成在鳍上,包括填充在间隙1002中。在一些实施例中,参照图56A/56B的实例,在形成间隔件层1102之后,可以回蚀刻间隔件层1102以暴露鳍元件402中邻近于但不被栅极结构902覆盖的部分(例如,源极/漏极区)。图56A的实例可以基本上类似于以上参考图12A和图12B所讨论的。如上所述,如图56A所示,虽然可以从外延堆叠件2202的顶面和外延堆叠件2202的横向表面去除间隔件层1102,但是间隔件层1102仍介于第一类型的器件的源极/漏极中的外延堆叠件302的外延层306之间以及位于栅极的侧壁上。位于第一类型的器件的鳍元件402中的外延层306之间的间隔件层1102的厚度可以在大约2nm至6nm之间。同时参照第二类型的器件,图56B示出间隔件层1102被回蚀刻使得间隔件层1102保留在栅极结构902的侧壁上,从而形成间隔件元件。
方法5100然后进行至框5120,形成源极/漏极部件。框5120基本上类似于以上参照图38、图44A和图44B描述的方法3800的框3818、以上参照图20和图31描述的方法2000的框2016和/或基本上类似于以上参照图1描述的方法100的框118。如上所述,可以通过实施外延生长工艺来形成源极/漏极部件,该外延生长工艺提供覆盖(cladding)位于鳍的源极/漏极中的外延层的部分的外延材料。参照图57A/图57B,对于每个器件类型,源极/漏极部件4402和4404形成在邻近栅极堆叠件902的鳍元件402上。参照图57A,源极/漏极部件4402包括通过在外延层306中邻近栅极结构902的区域上外延生长半导体材料层来形成的材料4402A。也就是,材料4402A形成在邻近栅极的纳米线(例如,外延层306)周围;这可以被称为在纳米线周围形成“覆盖层”。包括外延材料4402A的源极/漏极部件可以与以上参照图44A讨论的基本类似和/或基本上类似于以上参照图13描述的外延源极/漏极材料1302A。参照图57B的实例,源极/漏极部件4404包括通过在外延层306的邻近栅极结构902的区域上外延生长半导体材料层来形成的材料4404A。也就是,材料4404A形成在邻近栅极的纳米线(例如,外延层306)周围;这可以被称为在纳米线周围形成“覆盖层”。包括外延材料4404A的源极/漏极部件可以与以上参照44B讨论的类似和/或基本上类似于以上参照图13描述的外延源极/漏极材料1302A。用于4404A和4402A的材料可结合相关的器件类型(n型,p型)有适当的组分或适当地掺杂。因此,在实施例中,材料4404A和4402A在组成或掺杂中的至少一个上是不同的。例如,在一些实施例中,外延材料4402A提供适用于PFET器件的源极/漏极材料;外延材料4404A提供适用于NFET器件的源极/漏极材料。因此,源极/漏极部件4402和4404可以在相同或不同的工艺中形成。
方法5100然后进行至框5122,形成ILD层和/或去除栅极结构。框5122基本上类似于方法3800的框3820、方法2000的框2018和/或方法100的框120。参照图58A/58B的实例,在衬底202上方形成ILD层1402。如图58A/58B示出的,电极层904(或电极层904和介电层802)的去除产生沟槽1404。
方法5100然后进行至框5124,选择性去除器件的沟道区中的外延层。在一些实施例中,去除鳍元件的区域(在该区域上或上方将形成栅极结构,也就是沟道区)中的所选外延层。可以同时地或在单独的步骤中(提供合适的掩蔽)实施用于两种类型的器件的该选择性去除。框5124基本上类似于方法3800的框3822、图20的方法2000的框20/22和/或图33的实例,和/或图1的方法100的框122和/或图15的实例。参照图59A/59B的实例,对于两种类型的器件(n型和p型),从衬底202的沟道区以及沟槽1404内均去除外延层304。如上所述,在一些实施例中,外延层304是SiGe而外延层306是硅从而能够选择性去除SiGe外延层304。应当注意,在框5124中间处理阶段期间(例如,图59A/59B),在沟道区中的邻近的纳米线之间提供间隙1502(例如,外延层306之间的间隙1502)。
方法5100然后进行至框5126,形成栅极结构。框5126可以基本上类似于方法3800的框3824、以上参照图20、图34、图35、图36和图20描述的方法2000的框2022和/或可以基本上类似于以上参照图1和/或图16、图17、图18和图19的实例描述的方法100的框124。参照图60A的实例,在框5126的实施例中,在第一类型的器件的沟槽1404内形成栅极堆叠件4702。参照图60B的实例,在框5126的实施例中,在第二类型的器件的沟槽1404内形成栅极堆叠件4704。栅极堆叠件4702和4704可以包括不同的组成和/或在不同工艺期间形成。栅极堆叠件4702和4704中的任一个或两者均可以是高K/金属栅极堆叠件。栅极堆叠件4702提供用于第一类型器件(例如,PFET)的合适的功函数。栅极堆叠件4704提供用于第二类型器件(例如,NFET)的合适的功函数。例如,栅极堆叠件4702和4704的金属层可以包括相同或不同的组分,包括选择由Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、其他合适的金属材料或它们的组合组成的组中的这些组分。
因此,方法5100提供在第一类型的器件6000的一些实施例中。在一些实施例中,器件6000是PFET器件。在一些实施例中,方法5100提供第二类型的器件6010。在一些实施例中,器件6010是NFET器件。器件6000和6010可以提供在同一衬底上并且一起用以形成集成电路。如上所述,方法5000和/或器件6010的一些实施例的优势是通过介于覆盖的外延源极/漏极之间的氧化层(见氧化层3904)的厚度增加来提供应变增强。在一些实施例中,器件6000的优势是在沟道和/或源极/漏极区下面存在氧化层502。在一些实施例中,器件6010的优势是在沟道和/或源极/漏极区下面存在氧化层502。
器件6000和/或6010可以实施为全环栅(GAA)器件,即,栅极结构4702/4704形成在纳米线(外延层306)的多侧上。多栅极器件6000在图60A的等距视图以及在图61A(截线A)、图62A(通过栅极结构4702的截线B)、图63A(通过源极/漏极的截线C)中对应的截面图示出。多栅极器件6010在图60B的等距视图以及图61B(截线A)、图62B(通过栅极结构4702的截线B)、图63B(通过源极/漏极的截线C)中对应的截面图示出。为了便于参考图61A/B、图62A/B和图63A/B去除ILD层1402。如61A、图62A、图61B和图62B所示,栅极介电层4706设置在外延层306(例如,纳米线)下面。然而,在其他实施例中,各自的栅极结构4702、4704的其他部分(例如,栅电极4710、4712)也可以设置在外延层306下面。在一些实施例中,器件6000和/或6010可以是其栅极形成在沟道区的至少两侧(例如,顶部和两侧壁)上的FINFET器件和/或具有本领域已知的其他配置。图63A和图63B中的器件6000和6010示出源极/漏极部件4402、4404具有设置在外延层306(例如,纳米线)的多表面上的外延生长的覆盖层4402A、4404A,同时介电材料(分别是间隔件1102和氧化层3904)介于外延层306之间。
半导体器件6000和6010可以经历进一步地处理以形成本领域已知的各个部件和区域。例如,随后的处理可以在衬底202上形成被配置为连接各个部件以形成可以包括一个或多个多栅极器件的功能电路的接触件开口、接触件金属以及各个接触件/通孔/线以及多层互连部件(例如,金属层和层间电介质)。在又实施例中,多层互连件可以包括诸如通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各个互连部件可以采用包括铜、钨和/或硅的各个导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于含铜的多层互连结构。此外,在方法5100之前、器件和之后可以执行额外的工艺步骤,以及根据方法5100的各个实施例可以替换或消除上述的一些工艺步骤。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。
因此,在广泛的实施例中的一个中,描述了半导体器件制造的方法,方法包括形成从衬底延伸以及具有源极/漏极区和沟道区的鳍。鳍包括具有第一组分的第一外延层和位于第一外延层上的第二外延层,第二外延层具有第二组分。从鳍的源极/漏极区去除第二外延层以形成间隙。用介电材料填充间隙。另一外延材料形成在第一外延层的至少两个表面上以形成源极/漏极部件。
在实施例中,呈现了一种用于制造多栅极器件的方法。方法包括生长包括第一、第二和第三外延层的外延层堆叠件。图案化外延层堆叠件以形成鳍元件。在鳍元件上方形成伪栅极结构。位于鳍的第一区和第二区中的第二外延层转变成(transformed to)介电层。鳍的位于伪栅极结构下面的第三区介于第一和第二区之间。在转变第二外延层之后去除伪栅极结构,因此形成沟槽。在沟槽中形成金属栅极结构,其中金属栅极设置在第一和第三外延层的每个的多侧上。在又一些实施例中,通过氧化第二外延层来转变第二外延层。在又一些实施例中,通过去除第二外延层以形成间隙以及用介电材料来填充间隙来转变第二外延层。
在另一实施例中,形成多栅极半导体器件,多栅极半导体器件提供从衬底延伸的第一鳍元件。栅极结构在第一鳍元件的沟道区上方延伸。第一鳍元件的沟道区包括均被栅极结构的部分围绕的多个沟道半导体层。第一鳍元件的沟道区邻近栅极结构。源极/漏极区包括第一半导体层、位于第一半导体层上方的介电层以及位于介电层上方的第二半导体层。

Claims (10)

1.一种半导体器件制造的方法,包括:
形成从衬底延伸的鳍,所述鳍具有源极/漏极区和沟道区,其中,所述鳍包括具有第一组分的第一外延层和位于所述第一外延层上的第二外延层,所述第二外延层具有第二组分;
从所述鳍的所述源极/漏极区去除所述第二外延层以形成间隙;
用介电材料填充所述间隙;以及
在所述介电材料填充所述间隙的同时,在所述第一外延层的至少两个表面上生长另一外延材料以形成源极/漏极部件。
2.根据权利要求1所述的方法,还包括:
在所述第一外延层下面形成第三外延层;
氧化所述第三外延层以形成氧化的第三外延层;
其中,所述氧化的第三外延层在所述沟道区上的栅极的下方和所述源极/漏极部件的下方。
3.根据权利要求1所述的方法,还包括:
从所述鳍的所述沟道区去除所述第二外延层以形成另一间隙;以及
在位于所述沟道区中的所述第一外延层上形成栅极结构,其中,在所述另一间隙中形成所述栅极结构的至少部分。
4.根据权利要求1所述的方法,还包括:
在形成所述鳍之前,实施到达所述衬底内部的防穿通(APT)离子注入;以及
在实施所述APT离子注入之后以及在形成所述鳍之前,在所述衬底上方沉积所述第一外延层以及在所述第一外延层上方沉积所述第二外延层。
5.根据权利要求1所述的方法,还包括:
通过生长硅层来形成所述第一外延层;以及
通过直接在所述硅层上生长硅锗层来形成所述第二外延层。
6.一种制造多栅极器件的方法,所述方法包括:
生长包括第一外延层、第二外延层和第三外延层的外延层堆叠件;
图案化所述外延层堆叠件以形成鳍元件;
在所述鳍元件上方形成伪栅极结构;
将位于所述鳍的第一区和第二区中的所述第二外延层转变成介电层,其中,所述鳍的第三区介于所述第一区和所述第二区之间,其中,所述第三区位于所述伪栅极结构下面;
在转变所述第二外延层之后,去除所述伪栅极结构,从而形成沟槽;以及
在所述沟槽中形成金属栅极结构,其中,所述金属栅极设置在所述第一外延层和所述第三外延层的每个的多面上。
7.根据权利要求6所述的方法,其中,所述转变包括氧化所述第一区中的所述第二外延层。
8.一种多栅极半导体器件,包括:
鳍元件,从衬底延伸;
栅极结构,在所述鳍元件的沟道区上方延伸,其中,所述鳍元件的所述沟道区包括均被所述栅极结构的部分围绕的多个沟道半导体层;以及
所述鳍元件的源极/漏极区,邻近所述栅极结构,其中所述源极/漏极区包括:
第一半导体层、位于所述第一半导体层上方的介电层以及位于所述介电层上方的第二半导体层。
9.根据权利要求8所述的半导体器件,还包括:第三半导体层,所述第三半导体层覆盖所述第一半导体层和所述第二半导体层以及与所述介电层的侧壁交界。
10.根据权利要求9所述的半导体器件,其中,所述第一半导体层包括Si,所述介电层包括氧化的SiGe,所述第二半导体层包括Si。
CN201610124431.8A 2015-06-30 2016-03-04 多栅极器件及其制造方法 Active CN106328539B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/788,161 US9818872B2 (en) 2015-06-30 2015-06-30 Multi-gate device and method of fabrication thereof
US14/788,161 2015-06-30

Publications (2)

Publication Number Publication Date
CN106328539A true CN106328539A (zh) 2017-01-11
CN106328539B CN106328539B (zh) 2019-08-23

Family

ID=57684052

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610124431.8A Active CN106328539B (zh) 2015-06-30 2016-03-04 多栅极器件及其制造方法

Country Status (4)

Country Link
US (4) US9818872B2 (zh)
KR (1) KR101769213B1 (zh)
CN (1) CN106328539B (zh)
TW (1) TWI619174B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108155241A (zh) * 2017-12-22 2018-06-12 中国电子科技集团公司第五十四研究所 一种抗辐照多栅器件及其制备方法
CN108538914A (zh) * 2017-03-02 2018-09-14 中芯国际集成电路制造(上海)有限公司 场效应晶体管及其制作方法
CN108962823A (zh) * 2017-05-19 2018-12-07 中芯国际集成电路制造(上海)有限公司 半导体制造方法及半导体装置
CN110690216A (zh) * 2018-07-02 2020-01-14 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN110729248A (zh) * 2019-10-28 2020-01-24 中国科学院微电子研究所 一种堆叠纳米线或片cmos器件制备方法
CN110970505A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN113675197A (zh) * 2020-07-31 2021-11-19 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN113809157A (zh) * 2020-08-31 2021-12-17 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
US10283638B2 (en) * 2015-08-03 2019-05-07 Samsung Electronics Co., Ltd. Structure and method to achieve large strain in NS without addition of stack-generated defects
US9437501B1 (en) * 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
US10600810B2 (en) * 2015-09-25 2020-03-24 Intel Corporation Backside fin recess control with multi-hsi option
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10115807B2 (en) * 2015-11-18 2018-10-30 Globalfoundries Inc. Method, apparatus and system for improved performance using tall fins in finFET devices
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102366953B1 (ko) * 2016-01-06 2022-02-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9660033B1 (en) 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US9515073B1 (en) * 2016-02-08 2016-12-06 International Business Machines Corporation III-V semiconductor CMOS FinFET device
CN107452793B (zh) * 2016-06-01 2020-07-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9853150B1 (en) * 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
JP7048182B2 (ja) 2016-08-26 2022-04-05 インテル・コーポレーション 集積回路のデバイス構造及び両面製造技術
US9953977B1 (en) * 2017-04-13 2018-04-24 International Business Machines Corporation FinFET semiconductor device
US10297663B2 (en) 2017-04-19 2019-05-21 International Business Machines Corporation Gate fill utilizing replacement spacer
US10103065B1 (en) 2017-04-25 2018-10-16 International Business Machines Corporation Gate metal patterning for tight pitch applications
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US10332965B2 (en) * 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10354923B2 (en) 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US10147787B1 (en) * 2017-05-31 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
EP3425673A1 (en) 2017-07-04 2019-01-09 IMEC vzw Germanium nanowire fabrication
US10217900B2 (en) * 2017-07-06 2019-02-26 Globalfoundries Inc. Light emitting diode structures
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
CN109427779B (zh) * 2017-08-22 2021-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102385567B1 (ko) * 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN109494151B (zh) 2017-09-12 2021-03-30 联华电子股份有限公司 垂直金属氧化物半导体晶体管及其制作方法
KR102465537B1 (ko) * 2017-10-18 2022-11-11 삼성전자주식회사 반도체 장치
US10276697B1 (en) * 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10431696B2 (en) * 2017-11-08 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowire
US10727230B2 (en) * 2017-11-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor device with 2D material layer
US10714391B2 (en) * 2017-12-04 2020-07-14 Tokyo Electron Limited Method for controlling transistor delay of nanowire or nanosheet transistor devices
US10833157B2 (en) * 2017-12-18 2020-11-10 International Business Machines Corporation iFinFET
US11081567B2 (en) * 2018-03-12 2021-08-03 International Business Machines Corporation Replacement-channel fabrication of III-V nanosheet devices
US10522410B2 (en) * 2018-04-20 2019-12-31 Globalfoundries Inc. Performing concurrent diffusion break, gate and source/drain contact cut etch processes
US10431581B1 (en) 2018-04-30 2019-10-01 Qualcomm Incorporated Complementary metal-oxide semiconductor (CMOS) integration with compound semiconductor devices
US11276695B2 (en) * 2018-07-16 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10510871B1 (en) 2018-08-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11038036B2 (en) * 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US10720530B2 (en) 2018-09-27 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming same
US11205597B2 (en) * 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN110970489B (zh) * 2018-09-28 2023-05-23 台湾积体电路制造股份有限公司 半导体器件和形成半导体器件的方法
US10714347B2 (en) 2018-10-26 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate processes
US11335604B2 (en) * 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
EP3653568B1 (en) * 2018-11-14 2022-10-19 IMEC vzw A method for forming a semiconductor device comprising nanowire field-effect transistors
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11031298B2 (en) 2018-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20200136519A (ko) * 2019-05-27 2020-12-08 삼성전자주식회사 반도체 장치
CN112018113A (zh) 2019-05-29 2020-12-01 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US11430892B2 (en) 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11205650B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Input/output semiconductor devices
US11205711B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective inner spacer implementations
KR102284479B1 (ko) * 2019-10-31 2021-08-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스트레서를 갖는 반도체 디바이스의 구조체 및 형성 방법
US11444200B2 (en) * 2019-12-26 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with isolating feature and method for forming the same
US11444202B2 (en) * 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11264508B2 (en) 2020-01-24 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage prevention structure and method
US11430867B2 (en) 2020-01-24 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Channel mobility improvement
US11705372B2 (en) * 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11404417B2 (en) 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11830773B2 (en) * 2020-02-26 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with isolation structures
US11152477B2 (en) 2020-02-26 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with different threshold voltages
US11855225B2 (en) 2020-02-27 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial bridge feature and methods of forming the same
EP3876287A1 (en) * 2020-03-02 2021-09-08 Imec VZW Inner spacers for nanowires or nanosheets
US11594637B2 (en) * 2020-03-27 2023-02-28 Intel Corporation Gate-all-around integrated circuit structures having fin stack isolation
US11195937B2 (en) 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
DE102020119940A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfachgatetransistorstruktur
DE102020129842A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtungen mit rückseitiger stromschiene und rückseitiger selbstjustierender durchkontaktierung
US11424338B2 (en) 2020-03-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal source/drain features
US11417751B2 (en) * 2020-04-01 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11532711B2 (en) 2020-04-16 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. PMOSFET source drain
US11417766B2 (en) 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11289584B2 (en) 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
TWI764678B (zh) * 2020-04-24 2022-05-11 台灣積體電路製造股份有限公司 半導體結構及其形成方法
TWI764399B (zh) * 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 半導體裝置、積體晶片及其形成方法
US11670723B2 (en) 2020-05-12 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon channel tempering
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11532627B2 (en) 2020-05-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11948987B2 (en) 2020-05-28 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned backside source contact structure
US11232988B2 (en) 2020-05-29 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Wavy profile mitigation
US11532626B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reduction of gate-drain capacitance
US11508736B2 (en) 2020-06-08 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming different types of devices
US11158634B1 (en) 2020-06-15 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Backside PN junction diode
US11222892B2 (en) 2020-06-15 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail and methods of forming the same
US11637109B2 (en) 2020-06-29 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature separation structure
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11245036B1 (en) 2020-07-21 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Latch-up prevention
US11735669B2 (en) 2020-07-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertically-oriented complementary transistor
US11450673B2 (en) 2020-07-31 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Connection between source/drain and gate
US11329168B2 (en) 2020-07-31 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fish bone structure and methods of forming the same
DE102020131140A1 (de) 2020-08-10 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gateisolierungsstruktur
US11450662B2 (en) 2020-08-10 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation structure
US11437373B2 (en) 2020-08-13 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device structure
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11355502B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate recess and methods of forming the same
US11437245B2 (en) 2020-09-30 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium hump reduction
US11404576B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric fin structure
US20220113199A1 (en) * 2020-10-13 2022-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary Bipolar Junction Transistor
US11600625B2 (en) 2020-10-14 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having an offset source/drain feature and method of fabricating thereof
US11532744B2 (en) 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US11489078B2 (en) 2020-10-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lightly-doped channel extensions
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11444178B2 (en) 2020-11-13 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Inner spacer liner
US11362217B1 (en) 2020-11-23 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming transistors of different configurations
US11699760B2 (en) 2021-01-04 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for stacked multi-gate device
US11527534B2 (en) 2021-01-06 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-insulated semiconductor device
US11735647B2 (en) 2021-01-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device
US11728394B2 (en) 2021-01-27 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming backside power rails
US11710737B2 (en) 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US11901428B2 (en) 2021-02-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with backside gate isolation structure and method for forming the same
US11605720B2 (en) 2021-02-26 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate cap
US11444170B1 (en) 2021-03-12 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside self-aligned power rail and methods of forming the same
US11916105B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with corner isolation protection and methods of forming the same
US11615987B2 (en) 2021-03-26 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside via with a low-k spacer
US11854896B2 (en) 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with S/D bottom isolation and methods of forming the same
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11605638B2 (en) 2021-04-21 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with multiple threshold voltages
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11791402B2 (en) 2021-05-14 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having strained channels
US11973128B2 (en) 2021-05-27 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming multi-gate transistors
US11532733B1 (en) 2021-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric isolation structure for multi-gate transistors
US11855081B2 (en) 2021-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epitaxial features
US20230027567A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20240170563A1 (en) 2022-11-22 2024-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric Layer for Nanosheet Protection and Method of Forming the Same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130234215A1 (en) * 2012-03-12 2013-09-12 Kabushiki Kaisha Toshiba Semiconductor device
TW201342612A (zh) * 2011-12-20 2013-10-16 Intel Corp 用於n型及p型金氧半導體源極汲極接觸之三五族層
CN103456609A (zh) * 2012-06-05 2013-12-18 中芯国际集成电路制造(上海)有限公司 一种全包围栅极器件形成纳米线的方法
US20140312432A1 (en) * 2012-08-24 2014-10-23 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with substrate isolation

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
KR101471858B1 (ko) * 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8722472B2 (en) * 2011-12-16 2014-05-13 International Business Machines Corporation Hybrid CMOS nanowire mesh device and FINFET device
CN107039515B (zh) * 2011-12-19 2021-05-25 英特尔公司 高电压场效应晶体管
CN106887453B (zh) * 2011-12-19 2020-08-21 英特尔公司 Ⅲ族-n纳米线晶体管
CN103999226B (zh) * 2011-12-19 2017-02-15 英特尔公司 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
US9012284B2 (en) * 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US8497171B1 (en) * 2012-07-05 2013-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET method and structure with embedded underlying anti-punch through layer
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9859429B2 (en) 2013-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9166023B2 (en) * 2013-08-09 2015-10-20 Stmicroelectronics, Inc. Bulk finFET semiconductor-on-nothing integration
US9257545B2 (en) * 2013-09-12 2016-02-09 Globalfoundries Inc. Stacked nanowire device with variable number of nanowire channels
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9219154B1 (en) * 2014-07-15 2015-12-22 International Business Machines Corporation Method of fabricating electrostatically enhanced fins and stacked nanowire field effect transistors
US9397200B2 (en) * 2014-10-24 2016-07-19 Globalfoundries Inc. Methods of forming 3D devices with dielectric isolation and a strained channel region
US10170537B2 (en) * 2014-12-23 2019-01-01 International Business Machines Corporation Capacitor structure compatible with nanowire CMOS
WO2017171845A1 (en) * 2016-04-01 2017-10-05 Intel Corporation Beaded fin transistor
US11631671B2 (en) * 2019-12-31 2023-04-18 Tokyo Electron Limited 3D complementary metal oxide semiconductor (CMOS) device and method of forming the same
US11532617B2 (en) * 2020-04-07 2022-12-20 Mediatek Inc. Semiconductor structure and method of forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201342612A (zh) * 2011-12-20 2013-10-16 Intel Corp 用於n型及p型金氧半導體源極汲極接觸之三五族層
US20130234215A1 (en) * 2012-03-12 2013-09-12 Kabushiki Kaisha Toshiba Semiconductor device
CN103456609A (zh) * 2012-06-05 2013-12-18 中芯国际集成电路制造(上海)有限公司 一种全包围栅极器件形成纳米线的方法
US20140312432A1 (en) * 2012-08-24 2014-10-23 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with substrate isolation

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108538914A (zh) * 2017-03-02 2018-09-14 中芯国际集成电路制造(上海)有限公司 场效应晶体管及其制作方法
CN108962823A (zh) * 2017-05-19 2018-12-07 中芯国际集成电路制造(上海)有限公司 半导体制造方法及半导体装置
CN108962823B (zh) * 2017-05-19 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体制造方法及半导体装置
US10957785B2 (en) 2017-05-19 2021-03-23 Semiconductor Manufacturing (Shanghai) International Corporation Method for manufacturing semiconductor device
CN108155241B (zh) * 2017-12-22 2020-06-30 中国电子科技集团公司第五十四研究所 一种抗辐照多栅器件及其制备方法
CN108155241A (zh) * 2017-12-22 2018-06-12 中国电子科技集团公司第五十四研究所 一种抗辐照多栅器件及其制备方法
CN110690216B (zh) * 2018-07-02 2022-08-23 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN110690216A (zh) * 2018-07-02 2020-01-14 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN110970505A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN110970505B (zh) * 2018-09-28 2023-09-12 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN110729248A (zh) * 2019-10-28 2020-01-24 中国科学院微电子研究所 一种堆叠纳米线或片cmos器件制备方法
CN110729248B (zh) * 2019-10-28 2021-09-14 中国科学院微电子研究所 一种堆叠纳米线或片cmos器件制备方法
CN113675197A (zh) * 2020-07-31 2021-11-19 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11862701B2 (en) 2020-07-31 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked multi-gate structure and methods of fabricating the same
CN113675197B (zh) * 2020-07-31 2024-05-03 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN113809157A (zh) * 2020-08-31 2021-12-17 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
US20210273100A1 (en) 2021-09-02
US20200152794A1 (en) 2020-05-14
US10516049B2 (en) 2019-12-24
TW201701359A (zh) 2017-01-01
TWI619174B (zh) 2018-03-21
US20170005195A1 (en) 2017-01-05
KR101769213B1 (ko) 2017-08-17
KR20170003354A (ko) 2017-01-09
US11942548B2 (en) 2024-03-26
CN106328539B (zh) 2019-08-23
US11437513B2 (en) 2022-09-06
US9818872B2 (en) 2017-11-14
US20180090615A1 (en) 2018-03-29

Similar Documents

Publication Publication Date Title
CN106328539B (zh) 多栅极器件及其制造方法
US10157799B2 (en) Multi-gate device and method of fabrication thereof
US10790280B2 (en) Multi-gate device and method of fabrication thereof
CN105977144B (zh) FinFET沟道的形成方法及其结构
US10347751B2 (en) Self-aligned epitaxy layer
KR102082443B1 (ko) 멀티게이트 디바이스 및 그 제조 방법
CN105374875B (zh) 包括嵌入式鳍隔离区的多栅极器件结构及其形成方法
CN106941096B (zh) 具有金属栅电极的半导体器件及其制造方法
CN107026086A (zh) 增强的沟道应变以减小nmos fet器件的接触电阻
CN106206298A (zh) 多阶鳍的形成方法及其结构
CN106469654A (zh) 半导体装置及其制造方法
CN104347630A (zh) 半导体器件及其制造方法
US11824058B2 (en) Method of forming semiconductor device
CN105470302A (zh) 伪栅极结构及其方法
CN105280701A (zh) Finfet掺杂方法及其结构
US20170125304A1 (en) Semiconductor device and manufacturing method thereof
CN109860114A (zh) 鳍式二极管结构及其方法
CN109390394B (zh) 穿隧场效晶体管及其制作方法
CN113809015A (zh) 用于功函数工程的三层高k栅极介电堆叠
TW202339013A (zh) 半導體裝置及其製造方法
CN104183496B (zh) 鳍式场效应晶体管器件的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant