CN104981899A - 具有石墨烯屏蔽体的三维(3d)集成电路(3dic)以及相关的制造方法 - Google Patents

具有石墨烯屏蔽体的三维(3d)集成电路(3dic)以及相关的制造方法 Download PDF

Info

Publication number
CN104981899A
CN104981899A CN201480008148.8A CN201480008148A CN104981899A CN 104981899 A CN104981899 A CN 104981899A CN 201480008148 A CN201480008148 A CN 201480008148A CN 104981899 A CN104981899 A CN 104981899A
Authority
CN
China
Prior art keywords
layer
level
3dic
integrated circuit
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480008148.8A
Other languages
English (en)
Inventor
Y·杜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN104981899A publication Critical patent/CN104981899A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5225Shielding layers formed together with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

公开了一种具有石墨烯屏蔽体的三维(3-D)集成电路(3DIC)。在某些实施例中,在3DIC的两个相邻层级(34,52)之间设置至少一层石墨烯层(38)。石墨烯层是由纯碳制成的、具有原子以规则六边形图案排列的至少一个原子厚度的片状层。石墨烯层可以被设置在3DIC中任意层数的相邻层级之间。在示例性实施例中,石墨烯层提供了3DIC中相邻层级或相邻层之间的电磁干扰屏蔽体,以便减少层级之间的串扰。在其它示例性实施例中,石墨烯层可以被设置在3DIC中,以便提供引导热量朝向3DIC的周边区域并向3DIC的周边区域散发热量的散热器。在一些实施例中,石墨烯层被配置为提供EMI屏蔽体和热屏蔽体两者。

Description

具有石墨烯屏蔽体的三维(3D)集成电路(3DIC)以及相关的制造方法
优先申请
本申请要求2013年2月12日提交的,名称为“THREE-DIMENSIONAL(3-D)INTEGRATED CIRCUITS(3DICS)WITHGRAPHENE SHIELD,AND RELATED COMPONENTS AND METHODS”,序列号为13/765,061的美国专利申请的优先权,其通过全文引用的方式并入本文中。
相关申请
本申请与2013年2月12日提交的,名称为“ION REDUCED,IONCUT-FORMED THREE DIMENSIONAL,INTEGRATED CIRCUITS(3DICS),AND RELATED METHODS AND SYSTEMS”,序列号为13/765,080的美国专利申请相关。
背景技术
I.本公开内容的领域
本公开内容的技术涉及三维集成电路(3DIC)。
II.背景
移动通信设备已经在当前社会中变得常见。这些移动设备的流行部分地由现在在这样的设备上实现的许多功能所驱使。对这样的功能的需求增加了处理能力要求,并产生了对更强大的电池的需要。在移动通信设备壳体的有限空间内,电池与处理电路进行竞争。这些因素和其它因素有助于电路内的部件和功耗的持续小型化。
部件的小型化影响处理电路的所有方面,包括在处理电路中的晶体管和其它无功元件。一种小型化技术涉及不仅在x-y坐标系中,还在z-坐标系中布置集成电路。也就是说,当前的小型化技术使用三维(3D)集成电路(IC)(3DIC)来实现较高的器件封装密度、较低的互连延迟和较低的成本。当前,存在几种技术来制造或形成3DIC。
用于形成3DIC的第一种技术是选择性外延层生长。选择性外延层生长可以产生可接受的像样品质的IC,但是由于与工艺相关联的严格要求,这种技术是昂贵的。用于形成3DIC的第二种技术是晶圆上晶圆制造技术,据此,将电子部件分别构建在两个或更多个半导体晶圆上。将该两个或更多个半导体晶圆堆叠、对准、接合和划片成3DIC。需要并提供硅通孔(TSV)以实现在堆叠的晶圆之间的电连接。由于IC在各个层上的互相依赖,在堆叠的晶圆中的任何一个晶圆的未对准或TSV缺陷会导致整个缺陷的集成电路。用于形成3DIC的第三种技术是晶圆上管芯技术,据此将电子部件构建在两个半导体晶圆上。在这种技术中,一个晶圆被切片,并且将切割的管芯对准并接合到第二晶圆的管芯座上。这种晶圆上管芯技术还会经受对准的问题。用于形成3DIC的第四种技术是管芯上管芯技术,据此将电子部件构建在多个管芯上,并且随后将其堆叠、对准和接合。这种方法经受相同的未对准的问题,这个问题可能致使最后的3DIC不可用。
用于形成3DIC的第五种技术是单片技术,由此将电子部件和它们的连接件构建在单个半导体晶圆上的层中。通过离子切割工艺来将这些层进行组装。以这种方式使用这些层消除了对精确对准和TSV的需求。在单片方法中,准备了在其上具有集成部件的受主晶圆。在受主晶圆的顶面上形成氧化层。通过使施主晶圆经受离子(通常是氢)注入工艺来准备施主晶圆。随后将具有离子注入的施主晶圆的表面堆叠到受主晶圆的氧化层上。受主晶圆的氧化层通过退火工艺与施主晶圆的表面接合。施主晶圆随后被移除,将硅层转移到受主晶圆。在转移的硅层上方循序地制造另外的电子部件和互连件。相比于外延生长,单片技术不是那么昂贵,并且消除了未对准的风险,得到了比依赖于晶圆与晶圆对准、晶圆与管芯对准或者管芯与管芯对准的技术更多的功能器件。
单片方法使得集成电路具有较小覆盖区,但是,三维集成电路中的有源部件的密度产生了比简单的二维集成电路相对高的热量。高的温度会负面影响电路中有源部件的性能。此外,通过将电路布置在三维中而不只是在二维中还产生了电路之间针对电磁干扰(EMI)或者串扰的新机会。EMI还负面影响电路中有源部件的性能。
发明内容
在详细描述中所公开的实施例包括具有石墨烯屏蔽体的三维(3-D)集成电路(3DIC)。还公开了相关的部件和方法。在本文中所公开的某些实施例中,在3DIC的相邻两个层级之间设置至少一层石墨烯层。石墨烯层是由纯碳制成的、具有原子以规则六边形图案排列的至少一个原子厚度的片状层。石墨烯层可以被设置在3DIC中任意层数的相邻层级之间。在示例性实施例中,石墨烯层提供了在3DIC中的相邻层级或者相邻层之间的电磁干扰(EMI)屏蔽体,以便减少在层级之间的串扰。在3DIC中相邻层级中的部件之间的干扰会负面影响3DIC的性能。在其它示例性实施例中,石墨烯层可以被设置在3DIC中,以便提供引导热量朝向周边区域或3DIC的散热器,并向周边区域或3DIC的散热器散热的散热器。在一些实施例中,石墨烯层被配置为提供EMI屏蔽体和热屏蔽体两者。
就这点而言,在一个实施例中,公开了一种单片3DIC,所述3DIC包括第一半导体集成电路层级,所述第一半导体集成电路层级包括第一部件。所述3DIC还包括第二半导体集成电路层级,所述第二半导体集成电路层级包括第二部件,所述第二部件相对于所述第一半导体集成电路层级垂直设置。3DIC还包括至少一层石墨烯层,所述至少一层石墨烯层被设置在所述第一半导体集成电路层级与所述第二半导体集成电路层级之间,以使得所述至少一层石墨烯层既不是所述第一部件的部分也不是所述第二部件的部分。
在另一个实施例中,公开了一种单片三维集成电路。所述3DIC包括第一单元,所述第一单元用于提供包括第一部件的半导体层级。所述3DIC还包括第二单元,所述第二单元用于提供包括第二部件的半导体层级,所述第二部件相对于用于提供所述半导体层级的所述第一单元垂直设置。所述3DIC还包括至少一层石墨烯层,所述至少一层石墨烯层被设置在用于提供所述半导体层级的所述第一单元和所述第二单元之间,以使得所述至少一层石墨烯层既不是所述第一部件的部分也不是所述第二部件的部分。
在另一个实施例中,公开了一种形成单片三维集成电路的方法。所述方法包括提供包括第一部件的第一半导体层级。所述方法还包括在所述第一半导体层级的表面上方设置至少一层石墨烯。所述方法还包括将所述第一部件与所述至少一层石墨烯电隔离。所述方法还包括在所述至少一层石墨烯上方提供包括第二部件的第二半导体层级,使得所述至少一层石墨烯位于所述第一半导体层级与第二半导体层级之间,并且,将所述第二部件与所述至少一层石墨烯电隔离。
附图说明
图1A-图1D示出了在用于组装三维(3-D)集成电路(IC)(3DIC)的离子切割工艺中的示例性传统步骤;
图2示出了阐述用于离子切割的示例性传统工艺的流程图;
图3示出了在3DIC的构造中的示例性石墨烯转移;
图4示出了在3DIC的构造中的示例性蚀刻步骤;
图5示出了在3DIC的构造中的示例性硅转移步骤;
图6示出了在3DIC的构造中的示例性第二层级的创建步骤;
图7示出了包括石墨烯屏蔽体的示例性完成的3DIC;
图8示出了图7的3DIC沿着线8-8的示例性横截面视图;
图9是示出了用于如图3-图8中示出的3DIC的构造的示例性工艺的流程图;以及
图10是示例性的基于处理器的系统的框图,该基于处理器的系统可以包括图8的屏蔽的3DIC。
具体实施方式
现在参照附图,描述了本公开内容的几个示例性实施例。本文使用词语“示例性”以表示“充当示例、实例或者说明”。本文所描述的作为“示例性”的任何实施例并不必被解释为比其它实施例优选或有利。
在详细描述中所公开的实施例包括具有石墨烯屏蔽体的三维(3-D)集成电路(3DIC)。还公开了相关部件和方法。在本文所公开的某些实施例中,在3DIC的两个相邻层级之间设置至少一层石墨烯层。石墨烯层是由纯炭制成的,具有原子以规则六边形图案排列的至少一个原子厚度的片状层。石墨烯层可以被设置在3DIC中任意数量的相邻层级之间。在示例性实施例中,石墨烯层提供了在3DIC中的相邻层级或者层之间的电磁干扰(EMI)屏蔽,以便减少在层级之间的串扰。在3DIC中相邻层级中的部件之间的串扰会负面影响3DIC的性能。在其它示例性实施例中,石墨烯层可以被设置在3DIC中,以便提供指导热量朝向3DIC的周边区域,并向3DIC的周边区域散热的散热器。在一些实施例中,石墨烯层被配置为提供EMI屏蔽和热屏蔽两者。
在对包括热屏蔽体和EMI屏蔽体的经屏蔽的3DIC的实施例进行讨论之前,参照图1A-图1D和图2提供了在三维集成电路的组装中所使用的传统工艺的简要回顾。下面参照图3开始对具有热屏蔽体和EMI屏蔽体的三维集成电路的示例性实施例的讨论。
就这点而言,图1A示出了用于创建三维集成电路(3DIC)的传统工艺的第一步骤。具体地,提供了具有诸如硅(Si)衬底之类的衬底12的受主晶圆10。衬底12可以被称为衬底单元。如所公知的,在衬底12上生长第一层级电子部件(一般标识为14)。在电子部件14上生长氧化层16。同时准备施主晶圆18。施主晶圆18可以被称为施主单元。施主晶圆18还可以是硅材料。施主晶圆18使用离子进行注入,以形成离子化区域22,其有效地将处理部分20与施主部分24分隔开。传统的注入工艺允许创建局部化的高浓度区域(有时称为Delta注入区)。在示例性工艺中,离子是氢离子。在施主部分24上生长氧化层26。
参照图1B,将施主晶圆18堆叠在受主晶圆10的顶部,以使得氧化层16与氧化层26接触。氧化层16、26可以称为用于接合的单元。氧化层16、26进行接合,并且通过相对低温度的工艺(例如,在大约250℃与350℃之间)进行退火。如在图1C中所示出的,在退火之后,将施主晶圆18从受主晶圆10解理。如公知的,氧化层26、施主部分24和离子化区域22的解理部分22A保持附接于受主晶圆10,并且,离子化区域22的剩余部分22B保持在施主晶圆18的处理部分20上。
在解理之后,参照图1D,在施主部分24上生长另外的电子部件28(例如晶体管),以便形成第二层级电子部件30。可以通过重复该工艺来创建除了第二层级电子部件30以外的附加层级电子部件(未示出),以创建多级3DIC或多层级3DIC。
采用图1A-图1D提供了对示例性传统离子切割工艺50的视觉描绘,该传统离子切割工艺50进一步在图2中以流程图形式呈现。传统离子切割工艺50从准备受主晶圆10(框52)开始。准备受主晶圆10涉及准备衬底12,并且可以涉及掺杂、固化、切割或者如公知的其它技术。一旦准备好,在受主晶圆10上生长第一层级电子部件14(框54)。一旦生长了电子部件14,在受主晶圆10上生长氧化层16(框56,也参见图1A)。
继续参照图2,同时地或相继地将离子注入施主晶圆18中,以形成离子化区域22(框58,也参见图1A)。如上面所指出的,在示例性实施例中,离子是氢离子。同样在施主晶圆18上生长氧化层26。将施主晶圆18设置在受主晶圆10上(框60,也参见图1B)。对施主晶圆18进行退火(通常在大约250到350℃的温度范围)(框62),融合氧化层16、26。退火发生直至发生离子化区域22的裂开为止,这使得施主部分24和解理部分22A能够从施主晶圆18转移到受主晶圆10。这种转移被称为对施主晶圆18进行解理(框64,也参见图1C)。在示例性方法中,施主部分24大约是1.3μm厚。在转移之后,可以在施主部分24上生长第二层级电子部件30(框66,也见图1D)。
在诸如图2中示出的、产生3DIC 26的传统工艺中,随着在电子部件层级14、24内的电子部件消耗功率,热量可以在3DIC内积累。同样地,在第一层级电子部件14内的电子部件可以与第二层级电子部件24内的电子部件具有串扰,并且反之亦然。随着电子部件层级数量的增加,加剧了热量和串扰问题。散热失败通过改变3DIC中材料的传导性来负面影响3DIC,并且如果热量太大,则材料可能以毁坏3DIC的这种方式融化并回流。同样地,虽然串扰不可能破坏器件,但其使得旨在用于操作第一器件的信号出现在第二器件中,使得第二器件以不期望的方式工作。替代地,这种串扰可能超越相关法律和规定(例如,联邦通信委员会(FCC)对设备可以发射的EMI辐射量施加限制)。不能遵守这样的法律和规定可能意味着设备不能在某些管辖范围或市场中销售(例如,不能遵守FCC规定意味着设备可能不能在美国工作)。本公开内容通过提供在电子部件层级之间的EMI屏蔽体来解决这些问题。在示例性实施例中,EMI屏蔽体是石墨烯层。如上面所提到的,石墨烯层是由纯碳制成的、具有原子以规则六边形图案排列的至少一个原子厚度的片状层。石墨烯的导热性是铜的十倍,并且电子迁移率是硅的一百倍,由此作为热屏蔽体和减少串扰的EMI屏蔽体两者。
就这点而言,图3示出了具有衬底32的构造中的3DIC 30。在示例性实施例中,衬底32可以是硅。已经使用传统工艺在衬底32上创建了第一层级电子部件34。氧化层36可以包封第一层级电子部件34或者位于第一层级电子部件34的顶部。使用聚甲基丙烯酸甲酯(PMMA)保持衬底40来将石墨烯层38应用到氧化层36。使用这样的PMMA保持衬底40来转移石墨烯在本领域中是公知的。在示例性实施例中,石墨烯层38由单个原子厚度的石墨烯层形成。在另一个示例性实施例中,石墨烯层由大于一个原子厚度的石墨烯层(即,双层)形成。应当指出,为了使石墨烯层38成为有效的EMI屏蔽体,石墨烯层38不与第一层级电子部件34内的任何部件电连接。也就是说,将石墨烯层38与第一层级电子部件34内的部件电隔离。
如在图4中所示出的,PMMA保持衬底40被移除,并且石墨烯层38被蚀刻成包括一个或多个孔隙42的图案。在示例性实施例中,孔隙42邻近构造中的3DIC 30的边缘44。在另一个示例性实施例中,孔隙42与构造中的3DIC 30的边缘44是内部有间隔的。在示例性实施例中,如所期望的,蚀刻可以通过任何传统技术来执行。在另一个示例性实施例中,孔隙42通过除了蚀刻以外的某些工艺来制作。
参照图5,在石墨烯层38上方生长氧化层46,并且氧化层46用于通过离子切割工艺接合到另一硅层48。如在2013年2月12日提交的、名称为“ION REDUCED,ION CUT-FORMED THREE-DIMENSIONAL(3D)INTEGRATED CIRCUITS(IC)(3DICS),AND RELATED METHODS ANDSYSTEMS”,序列号为13/765,080的美国专利申请中所描述的,硅层48的顶部50可以经受化学机械抛光和氧化工艺以移除过量的离子。如所期望的,可以对新的硅层48进行掺杂(例如,p-掺杂,n-掺杂)。其它公知的准备步骤仍然可以包括在离子切割工艺中。
参照图6,在构造中的3DIC 30上,并且尤其是在硅层48上生长第二层级电子部件52。可以相对于孔隙42来设置个体部件53以实现过孔(如下面将参照图7和图8进行解释的)。应当指出,为了使石墨烯层38成为有效的EMI屏蔽体,石墨烯层38不与第二层级电子部件52内的任何部件53电连接。也就是说,将石墨烯层38与第二层级电子部件52内的部件53电隔离。
参照图7,示出了完成的3DIC 54。完成的3DIC 54包括位于边缘44邻近处的热过孔56。石墨烯层38是极好的热导体,并且连同热过孔56,热量可以从3DIC 54的中心传递到边缘44并散发。在示例性实施例中,热过孔直接连接到石墨烯层38,使得热量可以从石墨烯层38传递到热过孔56。邻近边缘44布置热过孔56允许热量从完成的3DIC 54的边缘散发。这种散热有效地将热量从完成的3DIC 54的中心部分移除,并且保护完成的3DIC 54免于过热。另外,层级间连接过孔58可以被设置为相对于边缘44内部有间隔的,以便连接第一层级电子部件34中的个体部件和第二电子部件层级52中的个体部件。层级间连接过孔58延伸通过孔隙42。在示例性实施例中,石墨烯层38连接到地(未示出)。通过将石墨烯层38接地,创建了有效的EM屏蔽体。
在图8中示出了完整的3DIC 54的横截面视图。如所示出的,完整的3DIC 54可以包括内部的热过孔56(示出了一个)以及内部设置的层级间连接过孔58。如在图7和图8两者中所示出的,将层级间连接过孔58与孔隙42的边缘隔开,以便在石墨烯层38和层级间连接过孔58之间不存在电连接。在层级间连接过孔58与石墨烯层38之间保持电气隔离有助于保持石墨烯层38的EM屏蔽功能。
虽然没有示出,但应当意识到,可以在第二电子部件层级52的顶部上生长另外的层级。这些另外的层级还可以具有如本文所讨论的设置在它们之间的屏蔽体。作为进一步的备注,虽然在本文的示例性实施例中描述了石墨烯,但是其它物质也可以用作屏蔽体。然而,由于石墨烯组合了导热性和电子迁移率,因此,它很好地适合于本文所概述的目的。相应地,其它适当的材料可以包括具有大于铜至少五倍的导热性以及大于硅至少五十倍的电子迁移率的那些材料。
参照图9对形成完整的3DIC 54的工艺70进行了总结。工艺70从准备衬底32(框72)开始。如公知的,这种准备可以包括掺杂、创建隔离槽等等。工艺70以生长第一层级电子部件34(框74)而继续进行。如公知的,这种生长可以通过外延生长、气相淀积、蚀刻,诸如此类来完成。
继续参照图9,工艺70通过在第一层级电子部件34上设置石墨烯层38(框76)而继续进行。如之前所描述的,石墨烯层38可以通过使用PMMA保持衬底40进行转移。工艺70以通过预定义的图案在石墨烯层38中创建孔隙42(框78)来继续。如所期望的,孔隙42可以通过蚀刻等工艺来创建。
继续参照图9,工艺通过将第二硅层48转移到石墨烯层38上方(框80)而继续进行。如所公知的,第二硅层48可以通过离子切割工艺来进行转移。可以对第二硅层48进行处理(框82)以移除离子、平整表面,并且针对第二电子部件层级52另外准备第二硅层48。也就是说,在对第二硅层48进行处理之后,定义了第二电子部件层级52(框84)。如已充分理解的,可以通过外延生长、气相淀积、蚀刻,诸如此类来定义第二电子部件层级52。随后形成过孔56和过孔58(框86),并且完成了图7的完成的3DIC 54。如果期望的话,可以提供具有另外的屏蔽层的另外的电子部件层级。
可以在任何基于处理器的设备中提供根据本文所公开的实施例的具有石墨烯屏蔽体的3DIC,或者将它集成到任何基于处理器的设备中。例如,并非限制,包括机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、移动电话、蜂窝电话、计算机、便携式计算机、台式计算机、个人数字助理(PDA)、监控器、计算机监控器、电视机、调谐器、收音机、卫星收音机、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频光盘(DVD)播放器以及便携式数字视频播放器。
就这点而言,图10示出了可以采用3DIC的基于处理器的系统110的示例。在该示例中,基于处理器的系统110包括一个或多个中央处理单元(CPU)112,每个CPU包括一个或多个处理器114。CPU 112可以具有高速缓冲存储器116,该高速缓冲存储器116耦合到处理器114用于对暂时存储的数据进行快速存取。CPU 112耦合到系统总线118,并且可以交互耦合在基于处理器的系统110中所包括的主设备和从设备。如所公知的,CPU112通过在系统总线118上交换地址、控制和数据信息来与这些其它设备进行通信。例如,CPU 112可以向存储控制器120传输总线事务请求。尽管没有在图10中示出,但是可以提供多系统总线118,其中每条系统总线118构成不同的结构。
其它设备可以连接到系统总线118。如在图10中所示出的,作为示例,这些设备可以包括存储系统122、一个或多个输入设备124、一个或多个输出设备126、一个或多个网络接口设备128以及一个或多个显示控制器130。输入设备124可以包括任何类型的输入设备,包括但不限于输入键盘、开关、声音处理器等等。输出设备126可以包括任何类型的输出设备,包括但不限于音频、视频、其它可视指示器等等。网络接口设备128可以是被配置为允许数据到/从网络132进行交换的任何设备。网络132可以是任何类型的网络,包括但不限于有线或无线网络、专用或公用网络、局域网(LAN)、广域局域网(WLAN)和互联网。网络接口设备128可以被配置为支持所期望的任何类型的通信协议。存储系统122可以包括一个或多个存储单元124(0-N)。
CPU 112还可以被配置为通过系统总线118访问显示控制器130,以便控制发送到一个或多个显示器136的信息。显示控制器130经由一个或多个视频处理器138向显示器136发送信息以进行显示,视频处理器138将要显示的信息处理成适合于显示器136的格式。显示器136可以包括任何类型的显示器,包括但不限于阴极射线管(CRT)、液晶显示器(LCD)、等离子体显示器等等。
本领域技术人员还将意识到,结合本文所公开的实施例所描述的各种示例性逻辑框、模块、电路以及算法可以被实现为电子硬件、存储在存储器或在另一种计算机可读介质中,并且由处理器或其它处理设备执行的指令,或者两者的组合。作为示例,本文所描述的仲裁器、主设备和从设备可以在任何电路、硬件部件、集成电路(IC)或IC芯片中采用。本文所公开的存储器可以是任何类型和大小的存储器,并且可以被配置为存储所期望的任何类型的信息。为了清楚地示出这种可互换性,各个示例性的部件、框、模块、电路和步骤已经从其功能性的角度作出以上普遍性描述。如何实现这种功能性取决于具体应用、设计选择和/或施加在整体系统上的设计约束。技术人员可以针对各种特定应用以不同方式来实现所描述的功能性,但是这样的实现决策不应当被解释为引起脱离本公开内容的范围。
结合本文所公开的实施例所描述的各示例性逻辑框、模块和电路可以使用处理器、DSP、专用集成电路(ASIC)、FPGA或其它可编程逻辑器件、分立的门或晶体管逻辑、分立的硬件部件、或被设计为执行本文中描述的功能的它们的任意组合来实现或执行。处理器可以是微处理器,但是在替代方案中,处理器可以是任何常规的处理器、控制器、微控制器、或状态机。处理器还可以被实现为例如DSP与微处理器的组合的计算设备的组合、多个微处理器、与DSP核心协作的一个或多个微处理器、或者任何其它这种配置。
本文所公开的实施例可以包含在硬件中和存储在硬件中的指令中,并且可以驻留在例如随机存取存储器(RAM)、闪存、只读存储器(ROM)、电可编程ROM(EPROM)、电可擦除可编程ROM(EEPROM)、寄存器、硬盘、可移动盘、CD-ROM、或本领域中所知的任何其他形式的储存介质中。示例性的存储介质耦合到处理器,使得处理器能够从/向储存介质读写信息。在替代方案中,储存介质可以被整合到处理器。处理器和储存介质可以驻留在ASIC中。ASIC可以驻留在远程站中。在替代方案中,处理器和储存介质可以作为分立部件驻留在远程站、基站或服务器中。
还应当指出,对在本文的示例性实施例的任何一个中所描述的操作步骤进行描述,以便提供示例和讨论。所描述的操作可以以除了所示出的顺序以外的许多不同顺序来执行。此外,在单个操作步骤中所描述的操作实际上可以在多个不同步骤中执行。另外,在示例性实施例中所讨论的一个或多个操作步骤可以进行组合。要理解的是,如对本领域技术人员来说将是显而易见的,在流程图中所示出的操作步骤可以经受许多不同的修改。本领域技术人员还将理解,信息和信号可以使用各种不同技术和技艺中的任意一项来表示。例如,贯穿上面的描述可以引用的数据、指令、命令、信息、信号、比特、符号和芯片可以由电压、电流、电磁波、磁场或磁粒子、光场或光粒子或它们的任意组合来表示。
提供了对本公开内容的以上描述以使得本领域任何技术人员能够实施或使用本公开内容。对于本领域技术人员来说,对本公开内容的各种修改将是显而易见的,并且在不脱离本公开内容的精神或范围的情况下,可以将本文所定义的一般性原理应用于其它变型。因此,本公开内容并非旨在限于本文所描述的示例和设计,而是要求保护与本文所公开的原理和新颖特征相一致的最广泛的范围。

Claims (20)

1.一种单片三维(3-D)集成电路(3DIC),包括:
包括第一部件的第一半导体集成电路层级;
包括第二部件的第二半导体集成电路层级,所述第二部件相对于所述第一半导体集成电路层级垂直设置;以及
至少一层石墨烯层,所述至少一层石墨烯层被设置在所述第一半导体集成电路层级与所述第二半导体集成电路层级之间,以使得所述至少一层石墨烯层既不是所述第一部件的部分也不是所述第二部件的部分。
2.根据权利要求1所述的单片3DIC,其中,所述至少一层石墨烯层耦合到地,并提供所述第一半导体集成电路层级与所述第二半导体集成电路层级之间的电磁屏蔽。
3.根据权利要求1所述的单片3DIC,其中,所述至少一层石墨烯层被配置为传导热量离开在所述第一半导体集成电路层级和所述第二半导体集成电路层级中内部设置的有源元件。
4.根据权利要求1所述的单片3DIC,其中,所述至少一层石墨烯层定义至少一个孔隙。
5.根据权利要求4所述的单片3DIC,还包括传导过孔,所述传导过孔穿过所述至少一个孔隙,并耦合所述第一半导体集成电路层级中的第一有源部件与所述第二半导体集成电路层级中的第二有源部件。
6.根据权利要求1所述的单片3DIC,还包括热过孔,所述热过孔与所述至少一层石墨烯层热相接。
7.根据权利要求4所述的单片3DIC,其中,所述单片3DIC包括外部边缘,并且所述至少一个孔隙邻近所述外部边缘。
8.根据权利要求4所述的单片3DIC,其中,所述单片3DIC包括中心,并且所述至少一个孔隙邻近所述中心。
9.根据权利要求4所述的单片3DIC,其中,所述单片3DIC包括外部边缘,并且所述至少一个孔隙与所述外部边缘在内部是有间隔的。
10.根据权利要求7所述的单片3DIC,还包括穿过所述至少一个孔隙的热过孔。
11.根据权利要求1所述的单片3DIC,还包括将所述第一半导体集成电路层级与所述第二半导体集成电路层级进行接合的接合层,并且其中,所述至少一层石墨烯层位于所述第一半导体集成电路层级中的所述接合层的下方。
12.根据权利要求1所述的单片3DIC,还包括将所述第一半导体集成电路层级与所述第二半导体集成电路层级进行接合的接合层,并且其中,所述至少一层石墨烯层位于所述第二半导体集成电路层级中的所述接合层的上方。
13.根据权利要求11所述的单片3DIC,其中,所述接合层包括经退火的氧化层。
14.根据权利要求1所述的单片3DIC,所述单片3DIC被集成到半导体管芯中。
15.根据权利要求1所述的单片3DIC,还包括选自由以下构成的组的设备,并且所述单片3DIC被集成到所述设备中:机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、移动电话、蜂窝电话、计算机、便携式计算机、台式计算机、个人数字助理(PDA)、监控器、计算机监控器、电视机、调谐器、收音机、卫星收音机、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频光盘(DVD)播放器、以及便携式数字视频播放器。
16.一种单片三维(3-D)集成电路(3DIC),包括:
第一单元,所述第一单元用于提供包括第一部件的半导体层级;
第二单元,所述第二单元用于提供包括第二部件的半导体层级,所述第二部件相对于用于提供所述半导体层级的所述第一单元垂直设置;以及
至少一层石墨烯层,将所述至少一层石墨烯层设置在用于提供半导体层级的所述第一单元与所述第二单元之间,以使得所述至少一层石墨烯层既不是所述第一部件的部分也不是所述第二部件的部分。
17.一种形成单片三维(3-D)集成电路(3DIC)的方法,包括:
提供包括第一部件的第一半导体层级;
将至少一层石墨烯设置在所述第一半导体层级的表面上;
将所述第一部件与所述至少一层石墨烯电隔离;以及
在所述至少一层石墨烯的上方提供包括第二部件的第二半导体层级,以使得所述至少一层石墨烯位于所述第一半导体层级与所述第二半导体层级之间,并且,所述第二部件与所述至少一层石墨烯电隔离。
18.根据权利要求17所述的方法,还包括在所述至少一层石墨烯中提供孔隙。
19.根据权利要求18所述的方法,还包括:传导过孔穿过所述孔隙以电耦合所述第一半导体层级中的第一元件与所述第二半导体层级中的第二元件。
20.根据权利要求18所述的方法,还包括:热过孔穿过所述孔隙以传导所述单片3DIC内的热量。
CN201480008148.8A 2013-02-12 2014-02-07 具有石墨烯屏蔽体的三维(3d)集成电路(3dic)以及相关的制造方法 Pending CN104981899A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/765,061 2013-02-12
US13/765,061 US9536840B2 (en) 2013-02-12 2013-02-12 Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
PCT/US2014/015279 WO2014126800A1 (en) 2013-02-12 2014-02-07 Three-dimensional (3d) integrated circuits (3dics) with graphene shield and related fabrication method

Publications (1)

Publication Number Publication Date
CN104981899A true CN104981899A (zh) 2015-10-14

Family

ID=50156948

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480008148.8A Pending CN104981899A (zh) 2013-02-12 2014-02-07 具有石墨烯屏蔽体的三维(3d)集成电路(3dic)以及相关的制造方法

Country Status (5)

Country Link
US (1) US9536840B2 (zh)
EP (1) EP2956961A1 (zh)
JP (1) JP6125669B2 (zh)
CN (1) CN104981899A (zh)
WO (1) WO2014126800A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107546182A (zh) * 2016-06-23 2018-01-05 上海北京大学微电子研究院 石墨烯缓冲层结构
CN111403415A (zh) * 2018-06-29 2020-07-10 长江存储科技有限责任公司 具有屏蔽层的三维存储器件及其形成方法
CN111430356A (zh) * 2018-06-28 2020-07-17 长江存储科技有限责任公司 具有屏蔽层的三维存储器器件以及用于制造其的方法
CN116598313A (zh) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 一种三维集成电路
CN116598311A (zh) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 一种三维存算一体化芯片
CN116598312A (zh) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 一种三维集成电路
CN116598312B (zh) * 2023-07-18 2024-06-28 苏州华太电子技术股份有限公司 一种三维集成电路

Families Citing this family (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9385088B2 (en) 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9287257B2 (en) 2014-05-30 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
CN105702663A (zh) * 2014-11-28 2016-06-22 北京大学 一种基于石墨烯的集成电路中的屏蔽层结构
US9256246B1 (en) 2015-01-29 2016-02-09 Qualcomm Incorporated Clock skew compensation with adaptive body biasing in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9530643B2 (en) 2015-03-12 2016-12-27 International Business Machines Corporation Selective epitaxy using epitaxy-prevention layers
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10156726B2 (en) * 2015-06-29 2018-12-18 Microsoft Technology Licensing, Llc Graphene in optical systems
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
JP6762004B2 (ja) * 2016-03-11 2020-09-30 国立大学法人九州工業大学 半導体集積回路装置及びその製造方法
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
JP7062545B2 (ja) 2018-07-20 2022-05-06 キオクシア株式会社 記憶素子
WO2020092361A1 (en) * 2018-10-29 2020-05-07 Tokyo Electron Limited Architecture for monolithic 3d integration of semiconductor devices
US10971420B2 (en) 2018-12-21 2021-04-06 Samsung Electronics Co., Ltd. Method of forming a thermal shield in a monolithic 3-D integrated circuit
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296083B2 (en) * 2020-03-06 2022-04-05 Qualcomm Incorporated Three-dimensional (3D), vertically-integrated field-effect transistors (FETs) electrically coupled by integrated vertical FET-to-FET interconnects for complementary metal-oxide semiconductor (CMOS) cell circuits
US11621245B2 (en) 2020-06-03 2023-04-04 Micron Technology, Inc. Microelectronic device packages with EMI shielding, methods of fabricating and related electronic systems
US11800600B2 (en) 2021-07-30 2023-10-24 Dell Products L.P. Information handling system coaxial cable grounding
US11592883B2 (en) 2021-07-30 2023-02-28 Dell Products L.P. Information handling system thermal management for dense structures
US11822395B2 (en) 2021-07-30 2023-11-21 Dell Products L.P. Information handling system thermal and EMI enclosures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060263977A1 (en) * 2001-07-24 2006-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit electrodes and capacitors by wrinkling a layer that includes a high percentage of impurities
WO2011112300A1 (en) * 2010-03-08 2011-09-15 International Business Machines Corp. Graphene based three-dimensional integrated circuit device
US20120129301A1 (en) * 2010-11-18 2012-05-24 Monolithic 3D Inc. System comprising a semiconductor device and structure
US20120306082A1 (en) * 2011-03-06 2012-12-06 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US20120313227A1 (en) * 2011-03-06 2012-12-13 Zvi Or-Bach Semiconductor device and structure for heat removal

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62254459A (ja) * 1986-04-28 1987-11-06 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JPH0714031B2 (ja) * 1986-12-18 1995-02-15 松下電子工業株式会社 半導体集積回路
JP3593348B2 (ja) 1992-12-29 2004-11-24 富士通株式会社 集積回路
JPH07176688A (ja) 1993-12-20 1995-07-14 Mitsubishi Electric Corp 半導体集積回路
US5495419A (en) 1994-04-19 1996-02-27 Lsi Logic Corporation Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing
JPH097373A (ja) 1995-06-20 1997-01-10 Oki Electric Ind Co Ltd 半導体記憶装置
US5724557A (en) 1995-07-10 1998-03-03 Motorola, Inc. Method for designing a signal distribution network
US5760478A (en) 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
US6374200B1 (en) 1997-02-03 2002-04-16 Fujitsu Limited Layout apparatus for laying out objects in space and method thereof
DE19740695C2 (de) 1997-09-16 2002-11-21 Infineon Technologies Ag Datenspeicher mit Mehrebenenhierarchie
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US6686623B2 (en) 1997-11-18 2004-02-03 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
US6295636B1 (en) 1998-02-20 2001-09-25 Lsi Logic Corporation RTL analysis for improved logic synthesis
JP4085459B2 (ja) 1998-03-02 2008-05-14 セイコーエプソン株式会社 3次元デバイスの製造方法
US6260182B1 (en) 1998-03-27 2001-07-10 Xilinx, Inc. Method for specifying routing in a logic module by direct module communication
US6305001B1 (en) 1998-06-18 2001-10-16 Lsi Logic Corporation Clock distribution network planning and method therefor
US6125217A (en) 1998-06-26 2000-09-26 Intel Corporation Clock distribution network
US6483736B2 (en) 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
FR2797713B1 (fr) 1999-08-20 2002-08-02 Soitec Silicon On Insulator Procede de traitement de substrats pour la microelectronique et substrats obtenus par ce procede
JP2001160612A (ja) 1999-12-01 2001-06-12 Takehide Shirato 半導体装置及びその製造方法
US7483329B2 (en) 2000-01-06 2009-01-27 Super Talent Electronics, Inc. Flash card and controller with integrated voltage converter for attachment to a bus that can operate at either of two power-supply voltages
TW587252B (en) 2000-01-18 2004-05-11 Hitachi Ltd Semiconductor memory device and data processing device
KR100549258B1 (ko) 2000-06-02 2006-02-03 주식회사 실트론 에스오아이 웨이퍼 제조 방법
US6834380B2 (en) 2000-08-03 2004-12-21 Qualcomm, Incorporated Automated EMC-driven layout and floor planning of electronic devices and systems
US6627985B2 (en) 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US6754877B1 (en) 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6670642B2 (en) 2002-01-22 2003-12-30 Renesas Technology Corporation. Semiconductor memory device using vertical-channel transistors
US7064971B2 (en) 2002-01-25 2006-06-20 Jeng-Jye Shau Methods for saving power and area for content addressable memory devices
US6730540B2 (en) 2002-04-18 2004-05-04 Tru-Si Technologies, Inc. Clock distribution networks and conductive lines in semiconductor integrated circuits
DE10226915A1 (de) 2002-06-17 2004-01-08 Infineon Technologies Ag Verfahren zum Verändern von Entwurfsdaten für die Herstellung eines Bauteils sowie zugehörige Einheiten
US7209378B2 (en) 2002-08-08 2007-04-24 Micron Technology, Inc. Columnar 1T-N memory cell structure
US6979630B2 (en) 2002-08-08 2005-12-27 Isonics Corporation Method and apparatus for transferring a thin layer of semiconductor material
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US7042756B2 (en) 2002-10-18 2006-05-09 Viciciv Technology Configurable storage device
US6965527B2 (en) 2002-11-27 2005-11-15 Matrix Semiconductor, Inc Multibank memory on a die
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
JP4554152B2 (ja) 2002-12-19 2010-09-29 株式会社半導体エネルギー研究所 半導体チップの作製方法
US6727530B1 (en) 2003-03-04 2004-04-27 Xindium Technologies, Inc. Integrated photodetector and heterojunction bipolar transistors
US6911375B2 (en) 2003-06-02 2005-06-28 International Business Machines Corporation Method of fabricating silicon devices on sapphire with wafer bonding at low temperature
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7107200B1 (en) 2003-10-03 2006-09-12 Sun Microsystems, Inc. Method and apparatus for predicting clock skew for incomplete integrated circuit design
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7546571B2 (en) 2004-09-08 2009-06-09 Mentor Graphics Corporation Distributed electronic design automation environment
US20060190889A1 (en) 2005-01-14 2006-08-24 Cong Jingsheng J Circuit floorplanning and placement by look-ahead enabled recursive partitioning
WO2006135780A1 (en) 2005-06-10 2006-12-21 The Regents Of The University Of California Fast dual-vdd buffer insertion and buffered tree construction for power minimization
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
US7280397B2 (en) 2005-07-11 2007-10-09 Sandisk 3D Llc Three-dimensional non-volatile SRAM incorporating thin-film device layer
DE102005039365B4 (de) 2005-08-19 2022-02-10 Infineon Technologies Ag Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis
US7663620B2 (en) 2005-12-05 2010-02-16 Microsoft Corporation Accessing 2D graphic content using axonometric layer views
CA2580998A1 (en) 2006-03-03 2007-09-03 Queen's University At Kingston Adaptive analysis methods
US7579654B2 (en) 2006-05-31 2009-08-25 Corning Incorporated Semiconductor on insulator structure made using radiation annealing
KR20080038535A (ko) 2006-10-30 2008-05-07 삼성전자주식회사 스택형 반도체 장치의 제조 방법
US7859117B2 (en) 2007-02-27 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Clocking architecture in stacked and bonded dice
US7669152B1 (en) 2007-03-13 2010-02-23 Silicon Frontline Technology Inc. Three-dimensional hierarchical coupling extraction
US7739642B2 (en) 2007-05-02 2010-06-15 Cadence Design Systems, Inc. Optimizing integrated circuit design through balanced combinational slack plus sequential slack
US7624364B2 (en) 2007-05-02 2009-11-24 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
US8513791B2 (en) 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US20080291767A1 (en) 2007-05-21 2008-11-27 International Business Machines Corporation Multiple wafer level multiple port register file cell
US7796092B2 (en) 2007-05-24 2010-09-14 The Boeing Company Broadband composite dipole antenna arrays for optical wave mixing
US7459716B2 (en) 2007-06-11 2008-12-02 Kabushiki Kaisha Toshiba Resistance change memory device
KR100896883B1 (ko) * 2007-08-16 2009-05-14 주식회사 동부하이텍 반도체칩, 이의 제조방법 및 이를 가지는 적층 패키지
US8046727B2 (en) 2007-09-12 2011-10-25 Neal Solomon IP cores in reconfigurable three dimensional integrated circuits
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US8059443B2 (en) 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
JP2009164480A (ja) 2008-01-09 2009-07-23 Toshiba Corp 抵抗変化メモリ装置
US7622955B2 (en) 2008-04-17 2009-11-24 Texas Instruments Incorporated Power savings with a level-shifting boundary isolation flip-flop (LSIFF) and a clock controlled data retention scheme
US8218377B2 (en) 2008-05-19 2012-07-10 Stmicroelectronics Pvt. Ltd. Fail-safe high speed level shifter for wide supply voltage range
US8716805B2 (en) 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US8060843B2 (en) 2008-06-18 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Verification of 3D integrated circuits
US8006212B2 (en) 2008-07-30 2011-08-23 Synopsys, Inc. Method and system for facilitating floorplanning for 3D IC
EP2161755A1 (en) 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
WO2010062644A2 (en) 2008-10-28 2010-06-03 The Regents Of The University Of California Vertical group iii-v nanowires on si, heterostructures, flexible arrays and fabrication
US8531204B2 (en) 2008-11-13 2013-09-10 Nxp, B.V. Testable integrated circuit and test method therefor
US20100140790A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Chip having thermal vias and spreaders of cvd diamond
US8146032B2 (en) 2009-01-30 2012-03-27 Synopsys, Inc. Method and apparatus for performing RLC modeling and extraction for three-dimensional integrated circuit (3D-IC) designs
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
WO2010098151A1 (ja) 2009-02-24 2010-09-02 日本電気株式会社 半導体装置およびその製造方法
US8214790B2 (en) 2009-03-04 2012-07-03 Oracle America Low RC global clock distribution
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8115511B2 (en) 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
WO2010134019A2 (en) 2009-05-19 2010-11-25 Ramot At Tel Aviv University Ltd. Vertical junction pv cells
US8422273B2 (en) 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
JP5549671B2 (ja) * 2009-06-30 2014-07-16 日立化成株式会社 感光性接着剤、並びにそれを用いたフィルム状接着剤、接着シート、接着剤パターン、接着剤層付半導体ウェハ及び半導体装置
JP4883203B2 (ja) * 2009-07-01 2012-02-22 株式会社テラミクロス 半導体装置の製造方法
US7955940B2 (en) * 2009-09-01 2011-06-07 International Business Machines Corporation Silicon-on-insulator substrate with built-in substrate junction
WO2011031949A1 (en) 2009-09-10 2011-03-17 Lockheed Martin Corporation Graphene nanoelectronic device fabrication
JP2013506917A (ja) 2009-09-30 2013-02-28 サンプリファイ システムズ インコーポレイテッド 圧縮及び復元を用いたマルチ・プロセッサの波形データ交換の改善
US8164089B2 (en) 2009-10-08 2012-04-24 Xerox Corporation Electronic device
US8247895B2 (en) 2010-01-08 2012-08-21 International Business Machines Corporation 4D device process and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
WO2011101463A1 (en) 2010-02-19 2011-08-25 University College Cork - National University Of Ireland, Cork A transistor device
US8315084B2 (en) 2010-03-10 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fully balanced dual-port memory cell
WO2011114428A1 (ja) 2010-03-15 2011-09-22 株式会社日立製作所 半導体装置およびそのテスト方法
US20110272788A1 (en) 2010-05-10 2011-11-10 International Business Machines Corporation Computer system wafer integrating different dies in stacked master-slave structures
US8395942B2 (en) 2010-05-17 2013-03-12 Sandisk Technologies Inc. Junctionless TFT NAND flash memory
US10115654B2 (en) * 2010-06-18 2018-10-30 Palo Alto Research Center Incorporated Buried thermally conductive layers for heat extraction and shielding
US8332803B1 (en) 2010-06-28 2012-12-11 Xilinx, Inc. Method and apparatus for integrated circuit package thermo-mechanical reliability analysis
US7969193B1 (en) 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
TWI562313B (en) 2010-09-06 2016-12-11 shu lu Chen Electrical switch using a recessed channel gated resistor structure and method for three dimensional integration of semiconductor device
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
WO2012077280A1 (ja) 2010-12-09 2012-06-14 パナソニック株式会社 三次元集積回路の設計支援装置及び設計支援方法
US8691179B2 (en) * 2011-01-04 2014-04-08 Korea Institute Of Science And Technology Method for fabricating graphene sheets or graphene particles using supercritical fluid
TWI405325B (zh) 2011-01-19 2013-08-11 Global Unichip Corp 靜電放電保護電路
US8409957B2 (en) 2011-01-19 2013-04-02 International Business Machines Corporation Graphene devices and silicon field effect transistors in 3D hybrid integrated circuits
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
JP5684590B2 (ja) 2011-01-28 2015-03-11 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置
FR2972077B1 (fr) 2011-02-24 2013-08-30 Thales Sa Composant electronique, procede de fabrication et utilisation de graphene dans un composant electronique
US9224813B2 (en) 2011-03-02 2015-12-29 King Abdullah University Of Science And Technology Cylindrical-shaped nanotube field effect transistor
FR2973938A1 (fr) 2011-04-08 2012-10-12 Soitec Silicon On Insulator Procédés de formation de structures semi-conductrices collées, et structures semi-conductrices formées par ces procédés
US8685825B2 (en) 2011-07-27 2014-04-01 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
US8683416B1 (en) 2011-07-28 2014-03-25 Juniper Networks, Inc. Integrated circuit optimization
FR2978605B1 (fr) 2011-07-28 2015-10-16 Soitec Silicon On Insulator Procede de fabrication d'une structure semi-conductrice comprenant une couche fonctionnalisee sur un substrat support
FR2978604B1 (fr) 2011-07-28 2018-09-14 Soitec Procede de guerison de defauts dans une couche semi-conductrice
US8576000B2 (en) 2011-08-25 2013-11-05 International Business Machines Corporation 3D chip stack skew reduction with resonant clock and inductive coupling
US8803233B2 (en) 2011-09-23 2014-08-12 International Business Machines Corporation Junctionless transistor
TWI573198B (zh) 2011-09-27 2017-03-01 索泰克公司 在三度空間集積製程中轉移材料層之方法及其相關結構與元件
US8580624B2 (en) 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
TWI456739B (zh) 2011-12-13 2014-10-11 Nat Univ Tsing Hua 三維記憶體晶片之控制結構
KR101786453B1 (ko) 2011-12-28 2017-10-18 인텔 코포레이션 집적 회로 디바이스의 트랜지스터들을 적층한 장치 및 제조방법
JP5870758B2 (ja) * 2012-02-28 2016-03-01 富士通株式会社 電子デバイス及びその製造方法
JP5456090B2 (ja) 2012-03-13 2014-03-26 株式会社東芝 半導体装置およびその製造方法
KR20130126036A (ko) 2012-05-10 2013-11-20 삼성전자주식회사 트랜지스터를 구비한 반도체 소자
US8796829B2 (en) * 2012-09-21 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US8737108B2 (en) 2012-09-25 2014-05-27 Intel Corporation 3D memory configurable for performance and power
US8701073B1 (en) 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US9490811B2 (en) 2012-10-04 2016-11-08 Efinix, Inc. Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US20140225218A1 (en) 2013-02-12 2014-08-14 Qualcomm Incorporated Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060263977A1 (en) * 2001-07-24 2006-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit electrodes and capacitors by wrinkling a layer that includes a high percentage of impurities
WO2011112300A1 (en) * 2010-03-08 2011-09-15 International Business Machines Corp. Graphene based three-dimensional integrated circuit device
CN102782856A (zh) * 2010-03-08 2012-11-14 国际商业机器公司 基于石墨烯的三维集成电路器件
US20120129301A1 (en) * 2010-11-18 2012-05-24 Monolithic 3D Inc. System comprising a semiconductor device and structure
US20120306082A1 (en) * 2011-03-06 2012-12-06 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US20120313227A1 (en) * 2011-03-06 2012-12-13 Zvi Or-Bach Semiconductor device and structure for heat removal

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107546182A (zh) * 2016-06-23 2018-01-05 上海北京大学微电子研究院 石墨烯缓冲层结构
CN111430356A (zh) * 2018-06-28 2020-07-17 长江存储科技有限责任公司 具有屏蔽层的三维存储器器件以及用于制造其的方法
US11043506B2 (en) 2018-06-28 2021-06-22 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device having a shielding layer and method for forming the same
US11758729B2 (en) 2018-06-28 2023-09-12 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device having a shielding layer and method for forming the same
US11758731B2 (en) 2018-06-28 2023-09-12 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device having a shielding layer and method for forming the same
CN111403415A (zh) * 2018-06-29 2020-07-10 长江存储科技有限责任公司 具有屏蔽层的三维存储器件及其形成方法
US11508745B2 (en) 2018-06-29 2022-11-22 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device having a shielding layer and method for forming the same
CN116598313A (zh) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 一种三维集成电路
CN116598311A (zh) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 一种三维存算一体化芯片
CN116598312A (zh) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 一种三维集成电路
CN116598312B (zh) * 2023-07-18 2024-06-28 苏州华太电子技术股份有限公司 一种三维集成电路

Also Published As

Publication number Publication date
US20140225235A1 (en) 2014-08-14
WO2014126800A1 (en) 2014-08-21
US9536840B2 (en) 2017-01-03
JP6125669B2 (ja) 2017-05-10
JP2016511542A (ja) 2016-04-14
EP2956961A1 (en) 2015-12-23

Similar Documents

Publication Publication Date Title
CN104981899A (zh) 具有石墨烯屏蔽体的三维(3d)集成电路(3dic)以及相关的制造方法
TWI682503B (zh) 在n通道金屬氧化物半導體結構中形成錯位增強的應變之方法
US10373953B2 (en) Semiconductor device including a semiconductor extension layer between active regions
US20140225218A1 (en) Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
TWI617028B (zh) 在矽鰭部之(111)平面上形成三五族裝置結構
CN107851613A (zh) 用于连接使用栅极切割分开的栅极区的器件和方法
US20210224457A1 (en) Semiconductor device including standard cell having split portions
CN106257641A (zh) 用于制造高电阻率绝缘体上半导体衬底的方法
CN107924994A (zh) 用于存储器器件的金属化工艺
US20170040295A1 (en) Vertically integrated wafers with thermal dissipation
KR20170051426A (ko) 적층된 회로들에서의 폴리 채널 필러들의 캡핑
CN102760643B (zh) 对三维半导体组件边缘修整的方法及形成相应组件的方法
TW201727708A (zh) 形成電阻器結構之方法及電阻器結構
CN105374862A (zh) 一种半导体器件及其制作方法和电子装置
US20140306349A1 (en) Low cost interposer comprising an oxidation layer
CN104252555A (zh) 导线图案化
CN106601687B (zh) 一种半导体器件及其制备方法、电子装置
KR20110049893A (ko) 쓰루 홀 비아에 이용되는 탄소계 물질을 포함하는 반도체 장치
TW201924021A (zh) 用於積體電路結構之間隔物的方向性移除
CN105849876B (zh) 基于鳍状物的半导体器件和方法
JP6244770B2 (ja) カーボン導電構造及びその製造方法
CN107170723B (zh) 一种半导体器件及其制备方法、电子装置
EP4325571A1 (en) Semiconductor apparatus and fabrication method therefor
WO2023207300A1 (zh) 存储芯片、通孔结构的制备方法、存储器及电子设备
JP2013135059A (ja) 半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20151014

WD01 Invention patent application deemed withdrawn after publication