CN104885085A - 跨电源域的数据传输 - Google Patents

跨电源域的数据传输 Download PDF

Info

Publication number
CN104885085A
CN104885085A CN201380068496.XA CN201380068496A CN104885085A CN 104885085 A CN104885085 A CN 104885085A CN 201380068496 A CN201380068496 A CN 201380068496A CN 104885085 A CN104885085 A CN 104885085A
Authority
CN
China
Prior art keywords
level
circuit
power
order
power domain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380068496.XA
Other languages
English (en)
Other versions
CN104885085B (zh
Inventor
J·谢
Y·杜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN104885085A publication Critical patent/CN104885085A/zh
Application granted granted Critical
Publication of CN104885085B publication Critical patent/CN104885085B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computing Systems (AREA)
  • Power Engineering (AREA)
  • Mathematical Physics (AREA)
  • Architecture (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Logic Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Power Sources (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

所公开的实施例包括跨不同电源域(A,B)进行操作的多级电路(10)。可以将所述多级电路实施为与电平移位器(65c)集成的主从触发器电路(10c),所述电平移位器(65c)跨不同电源域传输数据。触发器的主级和从级可以拆分成3D IC的两个层(102,104),并且可以包括(i)集成在触发器电路内的跨不同电源域的电平移位器,(ii)由自感应功率下降技术来减小的单个状态写入延迟,(iii)使用单片3D IC技术将触发器电源分开在不同层中,以及(iv)3D IC层之间的跨电源域数据传输。

Description

跨电源域的数据传输
根据35 U.S.C§119的优先权要求
本专利申请要求如下申请的优先权:
2012年11月28日提交的标题为“DATA TRANSFER ACROSS POWERDOMAINS”、并被转让给本申请的受让人的临时申请No.61/730767,并且由此通过引用的方式被明确地并入本文。
2012年11月28日提交的标题为“CLOCK DISTRIBUTION NETWORKFOR 3D INTEGRATED CIRCUIT”、并被转让给本申请的受让人的临时申请No.61/730755,并且由此通过引用的方式被明确地并入本文。
对共同未决专利申请的参考
本专利申请涉及以下共同未决美国专利申请:
2013年3月5日提交的Yang Du、Jing Xie和Kambiz Samadi的“MONOLITHIC 3D IC FLIP-FLOP DESIGN”,代理人案号No.123412,被转让给本申请的受让人,并且通过引用的方式被明确地并入本文;
2013年3月7日提交的Yang Du的“MONOLITHIC THREEDIMENSIONAL INTEGRATION OF SEMICONDUCTOR INTEGRATEDCIRCUITS”,代理人案号No.120600,被转让给本申请的受让人,并且通过引用的方式被明确地并入本文;以及
[****]提交的Kambiz Samadi、Shreepad Panth、Jing Xie和Yang Du的“CLOCK DISTRIBUTION NETWORK FOR 3D INTEGRATED CIRCUIT”,代理人案号No.124318,被转让给本申请的受让人,并且通过引用的方式被明确地并入本文。
技术领域
所公开的实施例总体上涉及集成电路中的从一个电源域到另一个不同电源域的有效率的数据传输。更具体地,所公开的实施例涉及用于在使面积消耗、功率消耗、写入时间延迟、电源域间串扰和其它性能参数最优化的同时进行低功率集成电路中的从一个电源域到另一个电源域的数据传输的系统和方法。
背景技术
在数字电路中,通常由两个不同的电压来表示导线的两种逻辑状态。在导线电压低于预定阈值时,导线上的信号被读作“低”。在导线电压高于预定阈值时,导线上的信号被读作“高”。逻辑高电压常常被称为Vdd,并且逻辑低电压常常被称为Vss,其为数字“地”。在现代数字逻辑系统中,不同的Vdd电平常常用于不同的功能电路块来管理系统性能和功率消耗。例如,某些电路块不需要像其它电路块那样快地进行操作。因此,可以将某些电路块的Vdd设定在与其它电路块的Vdd不同的电平。功能电路块的Vdd电平常常被称为电路块的电源域。当数字信号从在一个电源域中进行操作的电路块传输到在另一个电源域中进行操作的电路块时,需要将信号从一个电源域转换到另一个电源域。电平移位器电路将信号从一个电源域移位到另一个,并且常常被用作在电源域A中进行操作的功能电路块与在电源域B中进行操作的功能电路块之间的接口。提供多个电源域还需要多个电源轨,这增大了集成电路上的电源轨物理布线拥塞。
功率消耗和面积效率在当今的小型、高速、高性能移动应用中是关键问题。在所谓的片上系统(SoC)设计中,降低功率消耗的常用技术是将系统分成不同的电源域。例如,在粗的层级上,可以将计算逻辑和高速缓冲存储器设计为以其自己的电源电压进行操作。在向同一芯片上提供多个处理内核的系统(即,多核系统)中,需要多个电源域来方便每个内核的动态电压和频率缩放(DVFS)。通常,已知提供更精细粒度的电源域可以有效减小系统功率,并且这被认为是解决功率墙问题的有吸引力的方式。如上所述,多域设计在域边界处需要一些类型的电平移位器电路,以确保可靠的跨域数据传输并且管理跨域数据流量。然而,由于诸如面积消耗、功率消耗、写入时间延迟,电源轨拥塞等的各种性能参数的低效率,在小型、高速、高性能应用中提供电平移位器电路的已知尝试都是不切实际的。这些挑战已经妨碍了精细粒度的多电源域系统设计被广泛接受。
将电平移位器与跨多个电源域进行操作的多级触发器(例如,主从触发器)集成的已知尝试的示例包括:2004年Fujio Ishiha在Trans.VLSISystem中的Level conversion for Dual-Supply Systems;以及1998年H.Mahmoodi-Meimand在Proc.CICC中的A top-down low power design technique using clustered voltage scaling with variable supply–voltage scheme。然而,在局部单元层级内提供多个电源电压导致的面积损失和困难抑制了2D IC设计的广泛接受。这些设计的不足之处包括(i)存在从高功率级通过传送门(pass gate)到低功率级的反馈信号,以及(ii)在电平移位器级上缺乏写入增强考虑,这增大了延迟、泄漏和动态功率。这些不足之处在较小特征尺寸设计中更加严重。
因此,需要解决并改善包括面积消耗、功率消耗、电源域之间的串扰、写入时间延迟、电源轨拥塞等的各种性能参数的集成电路电平移位器设计和实施方式。
发明内容
所公开的实施例提供了用于实施跨电源域接口的设备和方法,该接口在以两个不同电源域进行操作的存储元件之间可靠且有效地传输同步数据。可以将存储元件实施为主从触发器电路,其中主触发器在一个电源域中进行操作,并且从触发器在另一个电源域中进行操作。一个电源域中的主级确定触发器设定&保持时间,并且从级确定时钟Q并且还用作逻辑电平移位器。从触发器和电平移位器可以被实施为头端单元(header cell)和6晶体管SRAM单元,其尺寸可以被设定为实现容易写入、高速和低切换能量。所公开的实施例使用隔离电路来解决隔离问题,可以将隔离电路实施为第一电源域与第二电源域之间的数据路径中的共源极n沟道MOSFET的差动对。提供写入增强电路以增强电平转换效率(即,逻辑一写入增强)并减小转换功率。头端单元可以包括写入增强功能,其可以被实施为“始终接通”的p沟道MOSFET头端,其提供自感应功率(Vdd)下降。通过在双层单片3D IC中实施跨电源域接口来实现其它优点。容易在3D IC的两个单独的层中布置跨电源域接口的两个电源轨,由此减小电源轨物理布线拥塞问题。
所公开的实施例的一个方面提供了具有多级电路构造的多层集成电路,多级电路构造包括:在电源域A中进行操作的第一级;在电源域B中进行操作的第二级;具有用于存储数据的第一装置的第一级;具有用于电平移位和存储数据的装置的第二级;第一层;第二层;包括第一级和向第一级提供功率的装置的第一层;以及包括第二级和向第二级提供功率的装置的第二层。用于向第一级提供功率的装置可以包括第一电源轨,并且用于向第二级提供功率的装置可以包括第二电源轨。多层电路可以包括用于在第一级与所述第二级之间传输数据的装置,并且用于传输数据的装置可以包括通孔的网络。通孔可以包括单片层间通孔。
所公开的实施例的另一个方面提供了设计多级电路的方法,该方法的步骤包括:设计在电源域A中进行操作的第一级电路;设计在电源域B中进行操作的第二级电路;将电平移位器存储电路合并到所述第二级电路中,其中所述电平移位器存储电路将在电源域A处接收的数据移位到电源域B,并向所述电平移位器存储电路写入所述移位数据;将所述第一级电路和第一级电源轨设置在多层构造的第一层上;以及将所述第二级电路和第二级电源轨设置在所述多层构造的第二层上。
所公开的实施例的另一个方面提供了设计多级电路的方法,该方法的步骤包括:设计在电源域A中进行操作的第一级存储电路;设计在电源域B中进行操作的第二级电路;将电平移位器存储电路合并到所述第二级电路中,其中所述电平移位器存储电路包括电平移位功能,其将所接收的数据从电源域A移位到电源域B,并向所述电平移位器存储电路写入所述移位数据;将写入增强功能合并到所述电平移位器存储电路中,其中所述写入增强电路改善向所述电平移位器存储电路写入所述移位数据的效率;减小所述电平移位器存储电路的尺寸和功率消耗;将隔离电路合并到所述第二存储电路中,其中所述隔离电路限制在电源域A中进行操作的所述第一级存储电路与在电源域B中进行操作的所述第二级电路之间的串扰;如有必要,进一步调整所述设计或减小所述电平移位器存储电路的所述尺寸或功率消耗;以及如有必要,调整所述隔离电路的所述设计、尺寸和/或功率消耗。该方法还包括如下步骤:评估所述第二级电路的所述尺寸、功率消耗和/或写入效率是否得到优化;如有必要,进一步调整所述设计或减小所述电平移位器存储电路的所述尺寸或功率消耗;以及如有必要,调整所述隔离电路的所述设计、尺寸和/或功率消耗。
附图说明
给出附图以辅助描述所公开的实施例,并且附图仅是出于说明实施例而非限制实施例的目的而提供的。
图1是所公开的实施例的方框图;
图2是示出所公开的实施例可以如何改进各种性能参数的表格;
图3是示出所公开的实施例的2层示例的方框图;
图4是示出所公开的实施例的方法的流程图;
图5是示出所公开的实施例的另一种方法的流程图;
图6是图1所示的方框图的更详细的实施方式;
图6a是示出针对图6中所示的电路的特定电压信号随时间变化的路径的曲线图;以及
图7是所公开的实施例的作为单片3D集成电路的触发器实施方式的截面图。
具体实施方式
在以下描述和涉及本发明的具体实施例的相关附图中公开了本发明的各方面。可以在不脱离本发明的范围的情况下构思替代的实施例。另外,本发明的公知元件将不会被详细描述或将被省略,以避免使本发明的相关细节难以理解。
本文使用词语“示例性”来表示“用作示例、实例或例证”。本文被描述为“示例性”的任何实施例不一定被解释为相对于其它实施例是优选或有利的。同样地,术语“本发明的实施例”不需要本发明的所有实施例都包括所论述的特征、优点或操作模式。
本文使用的术语仅仅是出于描述特定实施例的目的,并且并不是要限制本发明的实施例。如本文所用,除非上下文另外明确指示,否则单数形式“一”和“该”旨在也包括复数形式。要进一步理解的是,在本文中使用时,术语“包括”、“包含”指定所论述的特征、整体、步骤、操作、元件和/或部件的存在,但不排除存在或增加一个或多个其它特征、整数、步骤、操作、元件、部件和/或其组合。
此外,根据要由例如计算设备的元件执行的动作的顺序来描述许多实施例。将要认识到,可以由特定电路(例如,特殊应用集成电路(ASIC))、由被一个或多个处理器执行的程序指令、或由两者的组合来执行本文描述的各种动作。另外,本文描述的动作的顺序可以被认为完全体现于任何形式的计算机可读存储介质内,该存储介质中存储了对应的计算机指令集,该指令集在被执行时会使相关联的处理器执行本文描述的功能。因此,本发明的各方面可以以许多不同形式来体现,所有形式都被认为是处于所要求保护的主题的范围内。另外,对于本文中描述的实施例中的每一个,例如,任何这种实施例的对应形式在本文中可以被描述为“被配置为”执行所述动作的“逻辑”。
现在转到相关操作环境的概述,在现代SoC(即,片上系统)设计中,精细粒度多电源域对于性能和功率管理是有利的。跨电源域的同步数据传输需要逻辑电平移位器。跨域电平移位器还需要不同电源,这可能导致显著的面积损失和域之间的Vdd交叉。另外,跨这些电源域的数据传输提出了很多挑战,包括例如(1)需要额外的电平移位器导致显著的面积消耗;(2)跨域电平移位器存在域之间的Vdd跳闸的风险;(3)本地单元层级处的多个电源轨还导致进一步的面积损失。为了克服这些和其它问题,所公开的实施例提出了具有集成电平移位器的存储元件作为紧凑的跨域数据传输接口。所公开的实施例还采用3D集成电路技术来将电源分成单独的层,由此避免局部电源轨拥塞并进一步使串扰最小化。
如下文所更详细描述和示出的,可以将存储元件实施为与电平移位器集成的触发器电路,该电平移位器利用单片3D技术来跨不同的电源域传输数据。实施例通常在低功率数字集成电路(IC)和3D IC设计的领域中。更具体地,本公开内容描述了通过将电平移位器集成在主从触发器内部并且提供跨越布置在不同3D IC层中的不同电源域的数据路径来实现的跨域数据传输接口的电路拓扑结构和单片3D IC实施方式,包括(i)触发器(FF)电路,(ii)跨FF电路内集成的不同电源域的电平移位器,(iii)通过自感应功率下降技术减少写入时间延迟,(iv)使用单片3D IC技术将FF电源分开在不同层中,以及(v)在3D IC层之间的跨电源域数据传输。
现在转到具体的所公开的实施例,图1是所公开的实施例的多级电路10的方框图。如图所示,多级电路10包括在电源域A中进行操作的第一级26、在电源域B中进行操作的第二级40。电源域A与电源域B不同。逻辑电路将数据(例如D、msnd、msnd_n、Q)传输进入以及离开多级电路10。时钟电路80为逻辑12、14和多级电路10的各种同步部件提供时钟信号(clk)。提供数据路径16、18、20、22、24用于向各种电路发送数据和时钟信号。第一级26包括在电源域A中进行操作的存储电路28。第二级40包括隔离电路42和具有存储功能61、电平移位功能63和/或写入增强功能65的电平移位器存储电路(LSSC)60,它们全都在电源域B中进行操作。
LSSC 60执行电平移位和数据存储功能。更具体地,LSSC 60将所接收的数据从电源域A移位到电源域B,并向LSSC 60写入经移位的电源域B数据。隔离电路42在电源域A电压信号与电源域B电压信号之间提供隔离,由此减小在电源域A信号与电源域B信号之间发生串扰的可能。写入增强功能65通过减少由于向LSSC 60写入经移位的电源域B数据所使用的时间而产生的延迟(即,写入时间延迟)来提高总效率。
所公开的实施例,并且尤其是图1所示的实施例的一个重要方面在于,它们允许减小关键电路部件(例如,LSSC 60)的尺寸。如果所公开的LSSC60被实施为金属氧化物半导体场效应晶体管(MOSFET)的阵列(如图6和7中所示并且如下文所更详细描述的),随着MOSFET尺寸按比例缩小,能够获得显著的性能优点(例如,功率和面积消耗)。
第二级40及其部件(42,60)允许LSSC 60的尺寸被减小到足以在没有已知电平移位器存储电路的性能损失(例如,面积消耗,功率消耗、写入延迟等)的情况下实施第二级40。如下文更详细描述的,所公开的第二级40的尺寸可以小到大体上与常规从级的占地面积相同。隔离电路42消除了电源域A、B之间的串扰,由此允许LSSC 60的尺寸从已知电平移位器存储电路的实施方式显著减小。减小LSSC 60的尺寸减小了第二级40的总面积和功率消耗。由于LSSC 60的相对较小的面积和功率消耗,提高了数据写入速度和效率。写入增强功能65中还提供了其它改进,以更容易且更快地向LSSC 60中写入数据。可以将隔离电路42和写入增强功能65实施为仅有几个有源元件的简单设计,由此将其功率和面积消耗设定得相对较低。因此,减小LSSC 60的尺寸减小了面积和功率消耗,而隔离电路42和写入增强功能65提高了效率(较少串扰、较高的写入速度)。由于在第二级40中增加相对简单的隔离电路42和相对简单的写入增强电路65而产生的面积和功率消耗的轻微增加被由于减小LSSC 60的尺寸和占用面积并且消除对单独逻辑电平移位器的需求而获得的面积和功率节省充分抵消。
因此,能够看出,利用本文描述并示出的电路构造可以显著减小LSSC60的面积和功率消耗。例如,在根据所公开的实施例将LSSC 60实施为6T(六晶体管)写入增强SRAM(WES)构造60c(图6所示)时,通过缩小交叉耦合倒相器锁存器的尺寸,6T SRAM的占用面积可以是给定技术节点处的典型位单元占用面积的80%或小于该位单元。这是通过由差动n沟道MOSFET输入晶体管(44,46)提供的额外下拉强度来获得的,该晶体管在输入信号(msnd)处于高电平(而互补输入msnd_n处于低电平)时将内部节点bit_n拉到地电平,并且强迫锁存器单元将内部节点位充电到高电平(电源域B的Vdd),从而可以将LSSC 60c内的晶体管的尺寸成比例地缩小以提高写入功率和效率。
图2是示出能够使用图1所示的第二级电路40获得的功率消耗、面积消耗和IC延迟益处的示例的表格。图2比较了常规第二级电平移位器和存储电路相对于图1所示的第二级电路40的功率消耗、面积消耗和IC延迟。出于说明的目的,常规设计的存储电路为触发器(FF)电路。同样出于说明和比较的目的,将对常规电平移位器+FF设计的总第二级功率消耗的贡献任意设定为1.00,将对常规电平移位器+FF设计的总面积消耗的贡献任意设定为1.00,并将对IC延迟的贡献任意设定为1.00。不断减小第二级电平移位器和存储元件61、63,直到其功率消耗例如为0.50,并且其面积消耗例如为0.50。隔离电路42被实施为仅具有几个有源元件的简单电路,以使其不会引起显著的额外功率消耗,并且其面积消耗例如为0.125。写入增强65被实施为仅具有几个有源元件的简单电路,以使其不会引起显著的额外功率消耗,并且其面积消耗例如为0.125。在以下描述的更详细的实施例中,由电平移位器提供写入增强,从而对于这些实施例,写入增强对面积消耗的贡献将大体上为零。另外,写入增强65减小了写入延迟,以使第二级储存器61的写入时间例如为0.50。因此,组合的第二级电路40具有0.50的总功率消耗、0.75的总面积消耗、以及0.50的总写入时间延迟。由此,根据所公开的实施例的组合的第二级电路40提供了功率和面积消耗节省、以及上述提高的写入效率。上述实施例允许电平移位和存储电路包括少于多级电路10的总电路面积的近似/大约70%,并且整个电平移位器集成的主从触发器设计包括少于常规FF+移位器设计的总占用面积的近似/大约50%。应当注意,多级电路的总电路面积是指每级的电路面积的总和。
图3示出了在多层电路100中实施的多级电路10a,多层电路100具有在电源域A中进行操作的第一层102、以及在电源域B中进行操作的第二层104。多层电路10a的第一级26a在第一层102上,并且多层电路10a的第二级40a在第二层104上。第二级40a可以或可以不包括图1中所示的第二级电路(42,65)。每个电源域A和B通常都需要其自己的电源轨106、108。在多层构造100上实施的多级电路10a允许在第一层102上实施电源轨106、并且在第二层104上实施电源轨108。因此,相对于多级电路10a的单层实施方式而减小了每层的电源轨拥塞。
图4和5是进一步示出所公开的实施例的设计技术的工艺流程图。图4进一步示出了用于图1中所示的电路拓扑结构的设计技术200,并且图5进一步示出了用于图3中所示的电路拓扑结构的设计技术300。图4和5中所示的设计步骤的顺序仅出于说明的目的,并且在实际实践中,可以或可以不按照所示次序执行步骤。例如,减小LSSC 60的尺寸是可以在设计工艺中的其它步骤之前、之后或同时执行的迭代连续评估。如图4所示,步骤202处的设计技术200选择和/或设计在电源域A中进行操作的第一级存储电路(FSSC)26、28。步骤204设计和/或选择在电源域B中进行操作的第二级电路(SSC)40。步骤206将存储功能61和电平移位功能63结合到SSC 40中,其中电平移位63将所接收的数据从电源域A移位到电源域B,并向储存器61中写入数据。步骤208减小LSSC 60的尺寸和功率消耗。步骤210将隔离电路42结合到SSC 40中,其中隔离电路42对在电源域A中进行操作的FSSC 26、28与在电源域B中进行操作的SSC 40之间的串扰进行限制。步骤212将写入增强(WE)65结合到SSC 40中,其中WE 65提高了向LSSC 60写入数据的效率。如有必要,步骤214进一步调整LSSC 60的设计和/或减小其尺寸和/或功率消耗。如有必要,步骤216调整隔离电路42的设计、尺寸和/或功率消耗。步骤220评估SSC 40的占用面积、功率消耗和/或写入效率是否最优。如果没有,则设计技术200返回到步骤214,并且如有必要,则进一步调整LSSC 60的设计和/或减小其尺寸和/或功率消耗。如果对步骤220处的询问的回答为是,则设计技术200继续到步骤222并且将FSSC 26及其相关联的第一电源轨A 106设置在多层构造100的第一层102上。步骤224将SSC 40及其相关联的第二电源轨108设置在多层构造100的第二层104上。
图5示出了用于图3中所示的电路拓扑结构100的示例性设计技术300。如图5所示,步骤302选择和/或设计在电源域A中进行操作的FSC 26a。步骤304选择和/或设计在电源域B中进行操作的SSC 40a。步骤306将LSSC60a结合到SSC 40a中,其中LSSC 60a将在电源域A接收的数据移位到电源域B,并向LSSC 60a中写入经移位的数据。步骤308将FSC 26a及其相关联的第一级电源轨106设置在多层构造100的第一层102上。最后,步骤310将SSC 40a及其相关联的第二级电源轨108设置在多层构造100的第二层104上。
图6示出了作为图1所示的多级电路10的更详细的实施方式的多级电路10c。多级电路10c被示为主从触发器构造,其中第一级存储电路28c被实施为在电源域A中进行操作的主触发器电路,并且第二级存储电路40c被实施为从触发器构造61c、隔离电路构造42c和写入增强电路构造65c,它们全部在电源域B中进行操作。时钟电路80c为多级电路10c的各种同步部件提供时钟信号(clk)。
主触发器电路28c包括如图所示构造的第一倒相器30、第二倒相器34和第三倒相器36。从存储电路40c从主触发器28a同步接收数据(msnd)、将所接收的数据从电源域A移位到电源域B、并且向存储电路61c写入数据。隔离电路42c包括第一输入n沟道MOSFET(金属氧化物半导体场效应晶体管)44、第二差动输入n沟道MOSFET 46、以及倒相器48,倒相器48将数据转换成正确的输出Q状态并向如图所示构造的输出Q提供隔离。写入增强65c被实施为如图所示构造的第一p沟道MOSFET 52。电平移位器存储电路60a被实施为如图所示构造的6T SRAM构造,其包括第二p沟道MOSFET 62、第三p沟道MOSFET 64、第三n沟道MOSFET 66、第四n沟道MOSFET 68、第五n沟道MOSFET 70和第六n沟道MOSFET 72。时钟电路80a被实施为如图所示构造的压电晶体或传送门32、第四倒相器74和第五倒相器76。
现在将结合图6以及图6a中所示的电压/时间曲线来描述多级电路10c的操作。在操作中,输入数据D被同步存储在电源域A处的主触发器28c中。电源域A中的主触发器28c确定了FF设定和占用时间。数据D(0或1)到达倒相器30,倒相器30将D转换为D_n,并且用作至输入的电压隔离。在时钟信号变高(1)时,传送门32接通,并且数据D_n传输到倒相器34中,倒相器34将数据D_n转换回D并且将处于“msnd”的输出状态D传递到从级中的n沟道MOSFET 44的栅极。还将处于msnd_n的互补D_n传递到n沟道MOSFET 46的栅极。现在,如果时钟信号变低(0),则传送门32关闭。同时,倒相器36由共轭时钟信号来启用,该共轭时钟信号关闭背对背倒相器锁存器环并且使存储功能能够出现。数据D现在被存储在主级中,而不论传递到倒相器30的任何后续数据状态(0或1)如何,直到下一个时钟循环。为此,所有操作都在电源域A中执行,并且因此,所存储和输出的数据全部由电源域A电压电平来限定。
Msnd数据被提供给第一n沟道MOSFET 44,并且互补的msnd_n被提供给第二n沟道MOSFET 46。与将msnd数据递送到传送门晶体管70的常规方法不同,n沟道MOSFET(44,46)用来(1)隔离电源域A与电源域B之间的电源;(2)用作从级数据输入端口;(3)在输入信号为高时提供额外的下拉强度,允许减小存储单元61c的尺寸,并且提高写入性能。
如果msnd数据D在电源域A的Vdd电平下为高(1),则n沟道MOSFET44接通,而接入晶体管70被时钟信号(clk)接通(选择)。该组合动作用来将“bit_n”拉到低电平(0),如图6所示。由于bit_n向低电平移动,则其在Vdd-Vbit_n大于p沟道MOSFET 64的阈值电压时会接通p沟道MOSFET 64,在Vbit_n低于n沟道MOSFET 68的阈值电压时会关断n沟道MOSFET 68。因此,节点“bit”被电源Vdd充电并且随着“bit”电平(电压)继续向Vdd升高,这又关断了p沟道MOSFET 62并接通n沟道MOSFET66,从而启用了正反馈锁存器,将“bit_n”迅速拉到地,同时将“bit”推到Vdd。因此,在存储单元61c中记录(写入)了由数据D限定的新状态。倒相器48现在用来将处于低(0)的“bit_n”倒相到处于高(1)的Q。由于操作的该部分处于电源域B中,所以输出高也具有域B的正确Vdd电平。此时,电源域A电压电平处的输入高(D=1)被成功传输到电源域B电压电平处的输出高(Q=1)。类似地,如果msnd数据D为低(0),则msnd_n为高(1)。n沟道晶体管46和p沟道晶体管62被接通,使bit_n被Vdd充电,并向存储单元61c写入数据,并且又将高bit_n信号(1)转换为正确的低输出数据(Q=0)。
因此,多级电路10c将输入数据D跨越2个不同电源域传输到正确的输出Q状态。使用自感应功率下降(SIPC)电路提供了写入效率的额外增强,该电路是连接存储电路61c与电源的始终接通的p沟道MOSFET 52。如上所述,存储电路61c提供锁存功能以设定存储状态,例如,将“bit_n”从高(1)切换到低(0),并且将“bit”从低(0)切换到高(1)。切换速度主要由“bit_n”电压可以被多快下拉来确定。然而,在未将“bit”电压充电到Vdd-Vbit_n时的初始瞬态期间,晶体管62仍然接通,这将继续对“bit_n”充电。“bit_n”的电压不是由p沟道晶体管62的上拉和n沟道晶体管44和66的下拉的竞争力来确定的。SIPC通过抑制为晶体管62和64加载的节点S处的内部偏置电压来克服该瞬态问题。在瞬变周期中,电流通过始终接通的p沟道晶体管52来传导。晶体管的尺寸被设定为使其从瞬态时的Vdd电源传递足够大的电压降,例如20-30%的电压降,但在存储单元开始锁存时恢复到Vdd,如图6a所示。这种增强自身获得了clk到Q的延迟的大约20%的改进。
图7示出了在单片3D集成电路100d中实施的图6的主从FF电路10c的一部分的示例性截面图,集成电路100d具有在电源域A中进行操作的第一层102d和在电源域B中进行操作的第二层104d。该截面是实施方式示例,其并不是要显示所有互连。所示设计用于单片3D集成电路中,其在每层上仅需要单个电源轨(未示出)以实现多电源域数据传输接口。这可以大大减小布局面积并减小物理设计复杂性。主级和从电平移位器级具有相似的总晶体管宽度,并且被均匀分隔成两层102、104,以实现更好的占用效率。图7描绘了设计的主级和从级通过单片层间通孔来连接的示例。MIV1(112)示出了从层0中的主级的传送门晶体管30的漏极(D)到图6的层1中的从级(未示出)的输入晶体管46的连接,为msnd_n提供了跨层数据路径。MIV2(116)示出了从层0中的倒相器34的输出到图6的层1(未示出)中的从级的输入晶体管44的连接,为msnd提供了跨层数据路径。MIV3(114)可以是用于由图6的时钟发生器方框80馈送的时钟的链接。每层中的NMOS和PMOS以及接触部M1、M2、V1都是连接主级和从级的对应电路的电路的部件。一个实施例使用2层金属来进行连接,如图7中所示。
因此,能够看出,所公开的实施例是跨电源域而有效率地进行操作的高度紧凑、高度可靠并且低功率的部件和电路。所公开的实施例还提供了延迟改进和能量节约。在一个实施例中,与已知方式相比,其获得了40%的时钟-Q延迟减小和超过50%的功率节省。能量-延迟乘积减小了55%。改进的性能参数和减小的部件占用面积确保了设计的鲁棒性,并且3D集成电路实施方式技术对于未来的多电源域3D IC系统中的跨层、跨域同步数据传输是必不可少的。此外,缩小电平移位器储存器的尺寸还有助于写入速度和效率。因此整个写入增强技术包括2个主要成分:(1)自感应功率下降技术(例如,p沟道MOSFET头端单元),由此减少了写入功率和时间;(2)减小电平移位器存储锁存器对的占用面积,由此减小了充电电容,这是可能的,因为差动输入晶体管(用于隔离)在写入操作期间提供了额外的下拉强度。
尽管前述公开内容和说明显示了本发明的实施例,但应当注意,可以在不脱离由所附权利要求限定的本发明的范围的情况下对本文做出各种改变和修改。例如,不必按照任何特定次序来执行根据本文描述的发明的实施例的方法权利要求的功能、步骤和/或动作。此外,尽管可以采用单数形式来描述或要求保护本发明的元件,但是除非明确指出限制为单数,否则也考虑了复数形式。
相关领域的技术人员还将领会,可以将结合本文中所公开的实施例所描述的各种说明性的逻辑块、装置、电路和算法实施为电子硬件、计算机软件、或两者的组合。为了清楚地示出硬件与软件的该互换性,上文总体上在其功能方面对各种说明性部件、方框、装置、电路和步骤进行了描述。这种功能被实施为硬件或软件取决于特定应用和施加在整体系统上的设计约束。对于每个特定应用,技术人员可以采用不同方式来实施所描述的功能,但是这种实施方式决策不应该被理解为脱离本发明的范围。
结合本文中公开的实施例所描述的方法、序列和/或算法可以直接体现在硬件、由处理器执行的软件装置、或两者的组合中。软件装置可以存在于RAM存储器、闪速存储器、ROM存储器、EPROM存储器、EEPROM存储器、寄存器、硬盘、可移除磁盘、CD-ROM、或本领域中已知的任何其它形式的存储介质中。示例性存储介质耦合到处理器,以使处理器能够从存储介质读取信息并向其写入信息。在替代方案中,存储介质可以与处理器是一体的。因此,本发明的实施例可以包括体现用于执行所公开并要求保护的实施例的方法的计算机可读介质。因此,本发明不限于所示示例,并且用于执行本文所述功能的任何装置都包括在本发明的实施例中。

Claims (40)

1.一种多层集成电路(100),包括:
多级电路构造(10a),其包括在电源域A中进行操作的第一级(26a)和在电源域B中进行操作的第二级(40a);
所述第一级包括用于存储数据的第一装置(28);
所述第二级包括用于电平移位和存储数据的装置(60a);
第一层(102);
第二层(104);
所述第一层包括所述第一级和用于向所述第一级提供功率的装置(106);以及
所述第二层包括所述第二级和用于向所述第二级提供功率的装置(108)。
2.根据权利要求1所述的集成电路,其中:
用于向所述第一级提供功率的所述装置包括第一电源轨;并且
用于向所述第二级提供功率的所述装置包括第二电源轨。
3.根据权利要求2所述的集成电路,还包括用于在所述第一级与所述第二级之间传输数据的装置(110)。
4.根据权利要求3所述的集成电路,其中,用于传输数据的所述装置包括通孔网络。
5.根据权利要求4所述的集成电路,其中,所述通孔网络包括单片层间通孔。
6.一种多级电路构造(10),包括:
在电源域A中进行操作的第一级(26);
所述第一级包括用于存储数据的第一装置(28);
在电源域B中进行操作的第二级(40);
所述第二级包括用于将在电源域A中进行操作的所述第一级与在电源域B中进行操作的所述第二级隔离的装置(42);以及
所述第二级还包括用于在电源域B中进行电平移位和存储数据的装置(61,63)。
7.根据权利要求6所述的构造,其中,用于电平移位和存储的所述装置还包括用于增强至用于电平移位和存储的所述装置的数据的写入的装置(65)。
8.根据权利要求7所述的构造,还包括:
第一层(102)和第二层(104);
所述第一层包括所述第一级和用于向所述第一级提供功率的装置(106);以及
所述第二层包括所述第二级和用于向所述第二级提供功率的装置(108)。
9.根据权利要求8所述的构造,其中,
用于向所述第一级提供功率的所述装置包括第一电源轨;并且
用于向所述第二级提供功率的所述装置包括第二电源轨。
10.根据权利要求9所述的构造,还包括用于在所述第一级与所述第二级之间传输数据的装置(110)。
11.根据权利要求10所述的构造,其中,用于传输数据的所述装置包括通孔网络。
12.根据权利要求11所述的构造,其中,所述通孔网络包括单片层间通孔。
13.根据权利要求7所述的构造,其中:
用于存储数据的所述装置包括主触发器(28c);
用于电平移位和存储数据的所述装置包括从触发器和电平移位器电路(40c)。
14.根据权利要求13所述的构造,其中,所述从触发器和电平移位器包括多个SRAM晶体管(61c)。
15.根据权利要求13所述的构造,其中,用于电平移位和存储数据的所述装置包括少于多级电路构造的总电路面积的大约70%的面积。
16.根据权利要求6所述的构造,其中,用于隔离的所述装置包括共源极n沟道MOSFET(44,46)。
17.根据权利要求7所述的构造,其中,用于增强的所述装置包括始终接通的p沟道MOSFET头端(52)。
18.一种多级电路构造(10c),包括:
在电源域A中进行操作的第一级(28c);
在电源域B中进行操作的第二级(40c);
所述第二级包括电平移位器存储电路(61c,65c);以及
所述第二级还包括隔离电路(42c)。
19.根据权利要求18所述的构造,其中,所述电平移位器存储电路还包括写入增强功能(52)。
20.根据权利要求19所述的构造,还包括:
第一层(102)和第二层(104);
所述第一层包括所述第一级和第一级电源轨(106);以及
所述第二层包括所述第二级和第二级电源轨(108)。
21.根据权利要求20所述的构造,还包括用于在所述第一级与所述第二级之间传输数据的通孔网络。
22.根据权利要求21所述的构造,其中,所述通孔网络包括单片层间通孔。
23.根据权利要求19所述的构造,其中:
所述第一级包括第一触发器电路(28c);并且
所述电平移位器存储电路包括第二触发器电路(40c)。
24.根据权利要求18所述的构造,其中:
所述第一触发器电路包括主触发器构造;并且
所述第二触发器电路包括从触发器构造。
25.根据权利要求24所述的构造,其中,所述从触发器构造包括SRAM单元(61c)。
26.根据权利要求18所述的构造,其中,所述隔离电路对在电源域A中进行操作的所述第一级与在电源域B中进行操作的所述电平移位器存储电路之间的串扰进行限制。
27.根据权利要求26所述的构造,其中,所述隔离电路包括差动n沟道MOSFET(44,46)。
28.根据权利要求19所述的构造,其中,所述写入增强提高了向所述电平移位器存储电路写入数据的效率。
29.根据权利要求28所述的构造,其中,所述写入增强包括p沟道MOSFET(52)。
30.一种设计多级电路的方法(300),步骤包括:
设计(302)在电源域A中进行操作的第一级电路;
设计(304)在电源域B中进行操作的第二级电路;
将电平移位器存储电路合并(306)到所述第二级电路中,其中,所述电平移位器存储电路将在电源域A处接收的数据移位到电源域B,并且向所述电平移位器储存器写入所述移位数据;
将所述第一级电路和第一级电源轨设置(308)在多层构造的第一层上;以及
将所述第二级电路和第二级电源轨设置(310)在所述多层构造的第二层上。
31.一种设计多级电路的方法(200),步骤包括:
设计(202)在电源域A中进行操作的第一级存储电路;
设计(204)在电源域B中进行操作的第二级电路;
将电平移位器存储电路合并(206)到所述第二级电路中,其中,所述电平移位器存储电路将所接收的数据从电源域A移位到电源域B,并且向所述电平移位器存储电路写入所述移位数据;
将写入增强合并(212)到所述电平移位器存储电路中,其中,所述写入增强提高了向所述电平移位器存储电路写入所述移位数据的效率;
减小(208)所述电平移位器存储电路的尺寸和功率消耗;
将隔离电路合并(210)到所述第二存储电路中,其中,所述隔离电路对在电源域A中进行操作的所述第一级存储电路与在电源域B中进行操作的所述第二级电路之间的串扰进行限制;
如有必要,进一步调整(214)所述设计或减小所述电平移位器存储电路的所述尺寸或功率消耗;以及
如有必要,调整(216)所述隔离电路的所述设计、尺寸和/或功率消耗。
32.根据权利要求31所述的方法,还包括如下步骤:
评估(220)所述第二级电路的所述尺寸、功率消耗和/或写入效率是否得到优化;
如有必要,进一步调整所述设计或减小所述电平移位器存储电路的所述尺寸或功率消耗;以及
如有必要,调整所述隔离电路的所述设计、尺寸和/或功率消耗。
33.根据权利要求32所述的方法,还包括如下步骤;
将所述第一级存储电路和第一级电源轨设置(222)在多层构造的第一层上;以及
将所述第二存储电路和第二级电源轨设置(224)在所述多层构造的第二层上。
34.根据权利要求31所述的方法,其中:
所述第一级包括第一触发器电路(28c);并且
所述电平移位器存储电路包括第二触发器电路(40c)。
35.根据权利要求34所述的方法,其中:
所述第一触发器电路包括主触发器构造;并且
所述第二触发器电路包括从触发器构造。
36.根据权利要求35所述的方法,其中,所述从触发器构造包括SRAM单元(61c)。
37.根据权利要求34所述的方法,其中,所述隔离电路对在电源域A中进行操作的所述第一级与在电源域B中进行操作的所述电平移位器存储电路之间的串扰进行限制。
38.根据权利要求37所述的方法,其中,所述隔离电路包括差动n沟道MOSFET(44,46)。
39.根据权利要求31所述的方法,其中,所述写入增强提高了向所述电平移位器存储电路写入数据的效率。
40.根据权利要求39所述的方法,其中,所述写入增强包括p沟道MOSFET(52)。
CN201380068496.XA 2012-11-28 2013-11-27 跨电源域的数据传输 Active CN104885085B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201261730767P 2012-11-28 2012-11-28
US201261730755P 2012-11-28 2012-11-28
US61/730,755 2012-11-28
US61/730,767 2012-11-28
US13/792,592 US8984463B2 (en) 2012-11-28 2013-03-11 Data transfer across power domains
US13/792,486 2013-03-11
US13/792,592 2013-03-11
US13/792,486 US9098666B2 (en) 2012-11-28 2013-03-11 Clock distribution network for 3D integrated circuit
PCT/US2013/072374 WO2014085685A2 (en) 2012-11-28 2013-11-27 Data transfer across power domains

Publications (2)

Publication Number Publication Date
CN104885085A true CN104885085A (zh) 2015-09-02
CN104885085B CN104885085B (zh) 2021-08-27

Family

ID=50772543

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380068496.XA Active CN104885085B (zh) 2012-11-28 2013-11-27 跨电源域的数据传输
CN201380071377.XA Expired - Fee Related CN104937596B (zh) 2012-11-28 2013-11-27 用于3d集成电路的时钟分布网络

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201380071377.XA Expired - Fee Related CN104937596B (zh) 2012-11-28 2013-11-27 用于3d集成电路的时钟分布网络

Country Status (6)

Country Link
US (2) US9098666B2 (zh)
EP (2) EP2926280A1 (zh)
JP (4) JP5944590B2 (zh)
KR (2) KR101612795B1 (zh)
CN (2) CN104885085B (zh)
WO (2) WO2014085685A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107025322A (zh) * 2015-12-17 2017-08-08 恩智浦有限公司 电源域优化
CN108231113A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 具有电源检测器的双轨器件及其操作方法
CN109818492A (zh) * 2019-01-28 2019-05-28 上海华虹宏力半导体制造有限公司 一种可降低干扰的二级电源产生电路
CN109960851A (zh) * 2019-02-22 2019-07-02 南方电网科学研究院有限责任公司 一种基于不同电压域的数据传输方法及握手协议电路

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US9385088B2 (en) 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8832478B2 (en) 2011-10-27 2014-09-09 Intel Corporation Enabling a non-core domain to control memory bandwidth in a processor
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9098666B2 (en) * 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9064077B2 (en) * 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US9013235B2 (en) * 2013-07-16 2015-04-21 Qualcomm Incorporated Monolithic three dimensional (3D) flip-flops with minimal clock skew and related systems and methods
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
JP6328974B2 (ja) * 2014-03-28 2018-05-23 株式会社メガチップス 半導体装置及び半導体装置の設計手法
US9256246B1 (en) * 2015-01-29 2016-02-09 Qualcomm Incorporated Clock skew compensation with adaptive body biasing in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9508615B2 (en) 2015-02-09 2016-11-29 Qualcomm Incorporated Clock tree synthesis for low cost pre-bond testing of 3D integrated circuits
US9537471B2 (en) * 2015-02-09 2017-01-03 Qualcomm Incorporated Three dimensional logic circuit
US9483598B2 (en) * 2015-02-09 2016-11-01 Qualcomm Incorporated Intellectual property block design with folded blocks and duplicated pins for 3D integrated circuits
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
GB2540741B (en) * 2015-07-14 2018-05-09 Advanced Risc Mach Ltd Clock signal distribution and signal value storage
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US9722611B2 (en) 2015-09-01 2017-08-01 Samsung Electronics Co., Ltd. Semiconductor circuits
US10033386B2 (en) 2015-09-01 2018-07-24 Samsung Electronics Co., Ltd. Semiconductor circuits
KR102386907B1 (ko) 2015-09-10 2022-04-14 삼성전자주식회사 반도체 집적 회로
US9824174B2 (en) 2015-09-11 2017-11-21 Qualcomm Incorporated Power-density-based clock cell spacing
DE112016004265T5 (de) 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
DE102015218959A1 (de) * 2015-09-30 2017-03-30 Zf Friedrichshafen Ag Diagnose eines Steuergeräts
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US10318694B2 (en) 2016-11-18 2019-06-11 Qualcomm Incorporated Adaptive multi-tier power distribution grids for integrated circuits
US9929733B1 (en) 2017-02-21 2018-03-27 Qualcomm Incorporated Connection propagation for inter-logical block connections in integrated circuits
US10256796B2 (en) 2017-03-03 2019-04-09 Qualcomm Incorporated Master-slave level shifter array architecture with pre-defined power-up states
CN106960087B (zh) * 2017-03-13 2020-05-19 上海华力微电子有限公司 一种时钟分布网络结构及其生成方法
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10796068B2 (en) * 2018-09-11 2020-10-06 Samsung Electronics Co., Ltd. Standard cell design system, standard cell design optimization method thereof, and semiconductor design system
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
CN112084733A (zh) * 2020-08-14 2020-12-15 深圳天狼芯半导体有限公司 芯片的时钟树布图方法及装置
US11719738B2 (en) 2020-10-15 2023-08-08 Samsung Display Co., Ltd. Two-domain two-stage sensing front-end circuits and systems
US11455454B2 (en) * 2020-11-24 2022-09-27 Arm Limited Methods and apparatuses for concurrent coupling of inter-tier connections
CN115224936A (zh) * 2021-04-15 2022-10-21 瑞昱半导体股份有限公司 具有自适应机制的电压转换电路
US20220343045A1 (en) * 2021-04-21 2022-10-27 Arm Limited Multi-Dimensional Network Interface
CN114239475B (zh) * 2021-12-17 2023-05-16 郑州信大华芯信息科技有限公司 低频数模混合模块时钟结构及扫描链设计方法
US20230282251A1 (en) * 2022-03-02 2023-09-07 Samsung Electronics Co., Ltd. Methods and systems for managing read operation of memory device with single ended read path

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101430922A (zh) * 2007-11-06 2009-05-13 国际商业机器公司 在存储器操作期间控制单元电压的存储器电路与方法
CN101548286A (zh) * 2006-12-25 2009-09-30 株式会社半导体能源研究所 半导体装置
US20090262588A1 (en) * 2008-04-17 2009-10-22 Ramaprasath Vilangudipitchai Power savings with a level-shifting boundary isolation flip-flop (lsiff) and a clock controlled data retention scheme
CN101876947A (zh) * 2009-04-30 2010-11-03 国际商业机器公司 用于存储数据的方法及其系统
CN101907881A (zh) * 2010-06-04 2010-12-08 西安电子科技大学 可编程式数字脉冲发生器
US20110221502A1 (en) * 2008-11-13 2011-09-15 Nxp B.V. Testable integrated circuit and test method therefor
CN102598287A (zh) * 2009-08-26 2012-07-18 弗劳恩霍夫应用研究促进协会 太阳能模组的旁路保护电路以及控制方法

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3593348B2 (ja) 1992-12-29 2004-11-24 富士通株式会社 集積回路
JPH07176688A (ja) 1993-12-20 1995-07-14 Mitsubishi Electric Corp 半導体集積回路
US5495419A (en) 1994-04-19 1996-02-27 Lsi Logic Corporation Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing
JPH08129576A (ja) * 1994-11-01 1996-05-21 Matsushita Electric Ind Co Ltd 半導体装置のマスクレイアウト設計方法
US5724557A (en) * 1995-07-10 1998-03-03 Motorola, Inc. Method for designing a signal distribution network
US5760478A (en) 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
US6374200B1 (en) 1997-02-03 2002-04-16 Fujitsu Limited Layout apparatus for laying out objects in space and method thereof
JP3577404B2 (ja) * 1997-08-27 2004-10-13 株式会社東芝 電圧レベル変換機能付ラッチ回路及びフリップフロップ回路
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US6686623B2 (en) 1997-11-18 2004-02-03 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
US6295636B1 (en) 1998-02-20 2001-09-25 Lsi Logic Corporation RTL analysis for improved logic synthesis
JP4085459B2 (ja) 1998-03-02 2008-05-14 セイコーエプソン株式会社 3次元デバイスの製造方法
US6022770A (en) * 1998-03-24 2000-02-08 International Business Machines Corporation NVRAM utilizing high voltage TFT device and method for making the same
US6260182B1 (en) 1998-03-27 2001-07-10 Xilinx, Inc. Method for specifying routing in a logic module by direct module communication
US6305001B1 (en) 1998-06-18 2001-10-16 Lsi Logic Corporation Clock distribution network planning and method therefor
US6125217A (en) 1998-06-26 2000-09-26 Intel Corporation Clock distribution network
US6483736B2 (en) 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
FR2797713B1 (fr) 1999-08-20 2002-08-02 Soitec Silicon On Insulator Procede de traitement de substrats pour la microelectronique et substrats obtenus par ce procede
JP2001160612A (ja) 1999-12-01 2001-06-12 Takehide Shirato 半導体装置及びその製造方法
US7483329B2 (en) 2000-01-06 2009-01-27 Super Talent Electronics, Inc. Flash card and controller with integrated voltage converter for attachment to a bus that can operate at either of two power-supply voltages
KR100549258B1 (ko) 2000-06-02 2006-02-03 주식회사 실트론 에스오아이 웨이퍼 제조 방법
US6834380B2 (en) 2000-08-03 2004-12-21 Qualcomm, Incorporated Automated EMC-driven layout and floor planning of electronic devices and systems
US6627985B2 (en) 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US6754877B1 (en) * 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6730540B2 (en) 2002-04-18 2004-05-04 Tru-Si Technologies, Inc. Clock distribution networks and conductive lines in semiconductor integrated circuits
JP4131119B2 (ja) * 2002-04-19 2008-08-13 富士通株式会社 半導体集積回路設計方法及び半導体集積回路設計プログラム
DE10226915A1 (de) 2002-06-17 2004-01-08 Infineon Technologies Ag Verfahren zum Verändern von Entwurfsdaten für die Herstellung eines Bauteils sowie zugehörige Einheiten
US7209378B2 (en) 2002-08-08 2007-04-24 Micron Technology, Inc. Columnar 1T-N memory cell structure
US6979630B2 (en) 2002-08-08 2005-12-27 Isonics Corporation Method and apparatus for transferring a thin layer of semiconductor material
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US7042756B2 (en) 2002-10-18 2006-05-09 Viciciv Technology Configurable storage device
US6965527B2 (en) 2002-11-27 2005-11-15 Matrix Semiconductor, Inc Multibank memory on a die
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
JP4554152B2 (ja) 2002-12-19 2010-09-29 株式会社半導体エネルギー研究所 半導体チップの作製方法
US6727530B1 (en) 2003-03-04 2004-04-27 Xindium Technologies, Inc. Integrated photodetector and heterojunction bipolar transistors
US6911375B2 (en) 2003-06-02 2005-06-28 International Business Machines Corporation Method of fabricating silicon devices on sapphire with wafer bonding at low temperature
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7107200B1 (en) * 2003-10-03 2006-09-12 Sun Microsystems, Inc. Method and apparatus for predicting clock skew for incomplete integrated circuit design
JP2005252048A (ja) * 2004-03-05 2005-09-15 Nec Electronics Corp 半導体集積回路装置及びその製造方法
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7546571B2 (en) 2004-09-08 2009-06-09 Mentor Graphics Corporation Distributed electronic design automation environment
US20060190889A1 (en) 2005-01-14 2006-08-24 Cong Jingsheng J Circuit floorplanning and placement by look-ahead enabled recursive partitioning
US7218549B2 (en) * 2005-01-31 2007-05-15 Kabushiki Kaisha Toshiba Memory cell with stability switch for stable read operation and improved write operation
WO2006135780A1 (en) * 2005-06-10 2006-12-21 The Regents Of The University Of California Fast dual-vdd buffer insertion and buffered tree construction for power minimization
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
US7280397B2 (en) 2005-07-11 2007-10-09 Sandisk 3D Llc Three-dimensional non-volatile SRAM incorporating thin-film device layer
DE102005039365B4 (de) 2005-08-19 2022-02-10 Infineon Technologies Ag Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis
US7663620B2 (en) 2005-12-05 2010-02-16 Microsoft Corporation Accessing 2D graphic content using axonometric layer views
US20070244676A1 (en) 2006-03-03 2007-10-18 Li Shang Adaptive analysis methods
US7579654B2 (en) 2006-05-31 2009-08-25 Corning Incorporated Semiconductor on insulator structure made using radiation annealing
KR20080038535A (ko) 2006-10-30 2008-05-07 삼성전자주식회사 스택형 반도체 장치의 제조 방법
US7859117B2 (en) 2007-02-27 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Clocking architecture in stacked and bonded dice
JP4957297B2 (ja) * 2007-03-06 2012-06-20 セイコーエプソン株式会社 半導体装置の製造方法
US7669152B1 (en) 2007-03-13 2010-02-23 Silicon Frontline Technology Inc. Three-dimensional hierarchical coupling extraction
US7624364B2 (en) 2007-05-02 2009-11-24 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
US7739642B2 (en) * 2007-05-02 2010-06-15 Cadence Design Systems, Inc. Optimizing integrated circuit design through balanced combinational slack plus sequential slack
US8513791B2 (en) 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US20080291767A1 (en) 2007-05-21 2008-11-27 International Business Machines Corporation Multiple wafer level multiple port register file cell
US7796092B2 (en) 2007-05-24 2010-09-14 The Boeing Company Broadband composite dipole antenna arrays for optical wave mixing
US7459716B2 (en) 2007-06-11 2008-12-02 Kabushiki Kaisha Toshiba Resistance change memory device
US8046727B2 (en) 2007-09-12 2011-10-25 Neal Solomon IP cores in reconfigurable three dimensional integrated circuits
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US8059443B2 (en) 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
JP2009164480A (ja) 2008-01-09 2009-07-23 Toshiba Corp 抵抗変化メモリ装置
US8218377B2 (en) 2008-05-19 2012-07-10 Stmicroelectronics Pvt. Ltd. Fail-safe high speed level shifter for wide supply voltage range
US8716805B2 (en) 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US8060843B2 (en) 2008-06-18 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Verification of 3D integrated circuits
US8006212B2 (en) 2008-07-30 2011-08-23 Synopsys, Inc. Method and system for facilitating floorplanning for 3D IC
EP2161755A1 (en) 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
US8932940B2 (en) 2008-10-28 2015-01-13 The Regents Of The University Of California Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication
US20100140790A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Chip having thermal vias and spreaders of cvd diamond
US8146032B2 (en) 2009-01-30 2012-03-27 Synopsys, Inc. Method and apparatus for performing RLC modeling and extraction for three-dimensional integrated circuit (3D-IC) designs
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US8536629B2 (en) 2009-02-24 2013-09-17 Nec Corporation Semiconductor device and method for manufacturing the same
US8214790B2 (en) 2009-03-04 2012-07-03 Oracle America Low RC global clock distribution
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8115511B2 (en) 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
WO2010134019A2 (en) 2009-05-19 2010-11-25 Ramot At Tel Aviv University Ltd. Vertical junction pv cells
US8422273B2 (en) 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US7955940B2 (en) 2009-09-01 2011-06-07 International Business Machines Corporation Silicon-on-insulator substrate with built-in substrate junction
WO2011031949A1 (en) 2009-09-10 2011-03-17 Lockheed Martin Corporation Graphene nanoelectronic device fabrication
EP2483777A4 (en) 2009-09-30 2016-05-11 Altera Corp ENHANCED EXCHANGE OF WAVEFORM DATA BETWEEN MULTIPLE PROCESSORS USING COMPRESSION AND DECOMPRESSION
US8164089B2 (en) 2009-10-08 2012-04-24 Xerox Corporation Electronic device
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8247895B2 (en) 2010-01-08 2012-08-21 International Business Machines Corporation 4D device process and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
WO2011101463A1 (en) 2010-02-19 2011-08-25 University College Cork - National University Of Ireland, Cork A transistor device
US8450779B2 (en) 2010-03-08 2013-05-28 International Business Machines Corporation Graphene based three-dimensional integrated circuit device
US8315084B2 (en) 2010-03-10 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fully balanced dual-port memory cell
WO2011114428A1 (ja) 2010-03-15 2011-09-22 株式会社日立製作所 半導体装置およびそのテスト方法
US20110272788A1 (en) 2010-05-10 2011-11-10 International Business Machines Corporation Computer system wafer integrating different dies in stacked master-slave structures
US8395942B2 (en) 2010-05-17 2013-03-12 Sandisk Technologies Inc. Junctionless TFT NAND flash memory
US8332803B1 (en) 2010-06-28 2012-12-11 Xilinx, Inc. Method and apparatus for integrated circuit package thermo-mechanical reliability analysis
US7969193B1 (en) 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
CN102315197B (zh) * 2010-07-09 2013-04-17 中国科学院微电子研究所 3d集成电路结构以及检测芯片结构是否对齐的方法
JP5395009B2 (ja) * 2010-07-30 2014-01-22 株式会社半導体理工学研究センター サブスレッショルドsramのための電源電圧制御回路及び制御方法
TWI562313B (en) 2010-09-06 2016-12-11 shu lu Chen Electrical switch using a recessed channel gated resistor structure and method for three dimensional integration of semiconductor device
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
CN201956999U (zh) * 2010-10-15 2011-08-31 北京工业大学 3d多米诺集成电路时钟网络
US8775998B2 (en) 2010-12-09 2014-07-08 Panasonic Corporation Support device of three-dimensional integrated circuit and method thereof
US8691179B2 (en) 2011-01-04 2014-04-08 Korea Institute Of Science And Technology Method for fabricating graphene sheets or graphene particles using supercritical fluid
US8409957B2 (en) 2011-01-19 2013-04-02 International Business Machines Corporation Graphene devices and silicon field effect transistors in 3D hybrid integrated circuits
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
JP5684590B2 (ja) 2011-01-28 2015-03-11 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置
FR2972077B1 (fr) 2011-02-24 2013-08-30 Thales Sa Composant electronique, procede de fabrication et utilisation de graphene dans un composant electronique
US9224813B2 (en) 2011-03-02 2015-12-29 King Abdullah University Of Science And Technology Cylindrical-shaped nanotube field effect transistor
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
FR2973938A1 (fr) 2011-04-08 2012-10-12 Soitec Silicon On Insulator Procédés de formation de structures semi-conductrices collées, et structures semi-conductrices formées par ces procédés
US8685825B2 (en) 2011-07-27 2014-04-01 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
FR2978605B1 (fr) 2011-07-28 2015-10-16 Soitec Silicon On Insulator Procede de fabrication d'une structure semi-conductrice comprenant une couche fonctionnalisee sur un substrat support
US8683416B1 (en) 2011-07-28 2014-03-25 Juniper Networks, Inc. Integrated circuit optimization
FR2978604B1 (fr) 2011-07-28 2018-09-14 Soitec Procede de guerison de defauts dans une couche semi-conductrice
US8576000B2 (en) * 2011-08-25 2013-11-05 International Business Machines Corporation 3D chip stack skew reduction with resonant clock and inductive coupling
US8803233B2 (en) 2011-09-23 2014-08-12 International Business Machines Corporation Junctionless transistor
TWI573198B (zh) 2011-09-27 2017-03-01 索泰克公司 在三度空間集積製程中轉移材料層之方法及其相關結構與元件
US8580624B2 (en) 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
TWI456739B (zh) 2011-12-13 2014-10-11 Nat Univ Tsing Hua 三維記憶體晶片之控制結構
WO2013101003A1 (en) 2011-12-28 2013-07-04 Intel Corporation Techniques and configurations for stacking transistors of an integrated circuit device
JP5456090B2 (ja) 2012-03-13 2014-03-26 株式会社東芝 半導体装置およびその製造方法
KR20130126036A (ko) 2012-05-10 2013-11-20 삼성전자주식회사 트랜지스터를 구비한 반도체 소자
US8737108B2 (en) 2012-09-25 2014-05-27 Intel Corporation 3D memory configurable for performance and power
US8701073B1 (en) 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US9490811B2 (en) 2012-10-04 2016-11-08 Efinix, Inc. Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing
US9098666B2 (en) * 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US20140225218A1 (en) 2013-02-12 2014-08-14 Qualcomm Incorporated Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101548286A (zh) * 2006-12-25 2009-09-30 株式会社半导体能源研究所 半导体装置
CN101430922A (zh) * 2007-11-06 2009-05-13 国际商业机器公司 在存储器操作期间控制单元电压的存储器电路与方法
US20090262588A1 (en) * 2008-04-17 2009-10-22 Ramaprasath Vilangudipitchai Power savings with a level-shifting boundary isolation flip-flop (lsiff) and a clock controlled data retention scheme
US20110221502A1 (en) * 2008-11-13 2011-09-15 Nxp B.V. Testable integrated circuit and test method therefor
CN101876947A (zh) * 2009-04-30 2010-11-03 国际商业机器公司 用于存储数据的方法及其系统
CN102598287A (zh) * 2009-08-26 2012-07-18 弗劳恩霍夫应用研究促进协会 太阳能模组的旁路保护电路以及控制方法
CN101907881A (zh) * 2010-06-04 2010-12-08 西安电子科技大学 可编程式数字脉冲发生器

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JAYDEEP KULKARNI ET AL.: "Capacitive-Coupling Wordline with Self-Induced Vcc collapse for write Vmin reduction in 22-nm 8T SRAM", 《2012 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE》 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107025322A (zh) * 2015-12-17 2017-08-08 恩智浦有限公司 电源域优化
CN107025322B (zh) * 2015-12-17 2022-04-15 恩智浦有限公司 用于电源管理的设备和方法
CN108231113A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 具有电源检测器的双轨器件及其操作方法
CN108231113B (zh) * 2016-12-15 2020-12-01 台湾积体电路制造股份有限公司 具有电源检测器的双轨器件及其操作方法
CN109818492A (zh) * 2019-01-28 2019-05-28 上海华虹宏力半导体制造有限公司 一种可降低干扰的二级电源产生电路
CN109960851A (zh) * 2019-02-22 2019-07-02 南方电网科学研究院有限责任公司 一种基于不同电压域的数据传输方法及握手协议电路

Also Published As

Publication number Publication date
JP2016505931A (ja) 2016-02-25
KR101600947B1 (ko) 2016-03-08
JP5944590B2 (ja) 2016-07-05
JP2016506116A (ja) 2016-02-25
KR20150090166A (ko) 2015-08-05
US20140145347A1 (en) 2014-05-29
US9098666B2 (en) 2015-08-04
KR20150082656A (ko) 2015-07-15
CN104885085B (zh) 2021-08-27
JP6490612B2 (ja) 2019-03-27
US8984463B2 (en) 2015-03-17
US20140146630A1 (en) 2014-05-29
WO2014085685A2 (en) 2014-06-05
CN104937596A (zh) 2015-09-23
JP2019062208A (ja) 2019-04-18
EP2926279A2 (en) 2015-10-07
KR101612795B1 (ko) 2016-04-15
JP2016158254A (ja) 2016-09-01
EP2926280A1 (en) 2015-10-07
WO2014085685A3 (en) 2014-12-24
CN104937596B (zh) 2016-08-24
EP2926279B1 (en) 2018-06-13
WO2014085689A1 (en) 2014-06-05

Similar Documents

Publication Publication Date Title
CN104885085A (zh) 跨电源域的数据传输
Huang et al. A 65 nm 0.165 fJ/Bit/Search 256$\,\times\, $144 TCAM Macro Design for IPv6 Lookup Tables
TWI609371B (zh) 涉及群組成10位元之資料信號的動態隨機存取記憶體(dram)裝置及其操作方法
US7603634B2 (en) Various methods and apparatuses to preserve a logic state for a volatile latch circuit
CN100481451C (zh) 半导体集成电路装置
US7675769B2 (en) Semiconductor integrated circuit
US6677797B2 (en) Semiconductor integrated circuit
US8243502B2 (en) Nonvolatile latch circuit and logic circuit using the same
KR20080034429A (ko) 소스 트랜지스터 구성 및 제어 방법
JP2007329920A (ja) Mtmos回路のモード遷移中に電荷を再利用して消費電力を削減する回路及び方法
US8638593B2 (en) Semiconductor device
US20220321123A1 (en) Circuits and Methods to harvest energy from transient on-chip data
US7902861B2 (en) Adiabatic CMOS design
EP1649493B1 (en) Nested voltage island architecture
CN104168012A (zh) 电压电平转换器和实现其的系统
US7663411B2 (en) Semiconductor device with a logic circuit
JP4583988B2 (ja) 直流電源駆動型超伝導ループドライバ回路及びドライブ方法
Bezzam et al. Low power SoCs with resonant dynamic logic using inductors for energy recovery
Li et al. Power efficient data retention logic design in the integration of power gating and clock gating
JPWO2007077928A1 (ja) ダイナミック半導体装置
JP4124699B2 (ja) 集積回路装置
Sun et al. Adiabatic Logic Based Low-Power Precomputation-Based Content Addressable Memory Parameter Extractor Design
Seomun et al. Self-retention of data in power-gated circuits
Belleville et al. A single TSV-rail 3D quasi delay insensitive asynchronous signaling
Patel et al. Low power SOC design Techniques

Legal Events

Date Code Title Description
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant