KR101612795B1 - 3d 집적 회로를 위한 클록 분배 네트워크 - Google Patents
3d 집적 회로를 위한 클록 분배 네트워크 Download PDFInfo
- Publication number
- KR101612795B1 KR101612795B1 KR1020157016747A KR20157016747A KR101612795B1 KR 101612795 B1 KR101612795 B1 KR 101612795B1 KR 1020157016747 A KR1020157016747 A KR 1020157016747A KR 20157016747 A KR20157016747 A KR 20157016747A KR 101612795 B1 KR101612795 B1 KR 101612795B1
- Authority
- KR
- South Korea
- Prior art keywords
- tier
- clock
- logic
- integrated circuit
- distribution network
- Prior art date
Links
Images
Classifications
-
- G06F17/5072—
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F1/00—Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
- G06F1/26—Power supply means, e.g. regulation thereof
- G06F1/32—Means for saving power
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F1/00—Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
- G06F1/26—Power supply means, e.g. regulation thereof
- G06F1/32—Means for saving power
- G06F1/3203—Power management, i.e. event-based initiation of a power-saving mode
- G06F1/3234—Power saving characterised by the action undertaken
- G06F1/3287—Power saving characterised by the action undertaken by switching off individual functional units in the computer system
-
- G06F17/5068—
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/392—Floor-planning or layout, e.g. partitioning or placement
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/394—Routing
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/396—Clock trees
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C5/00—Details of stores covered by group G11C11/00
- G11C5/14—Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H03—ELECTRONIC CIRCUITRY
- H03K—PULSE TECHNIQUE
- H03K19/00—Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
- H03K19/0008—Arrangements for reducing power consumption
-
- G06F2217/62—
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/32—Circuit design at the digital level
- G06F30/327—Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02D—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
- Y02D10/00—Energy efficient computing, e.g. low power processors, power management or thermal management
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Theoretical Computer Science (AREA)
- Computer Hardware Design (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- Computing Systems (AREA)
- Power Engineering (AREA)
- Mathematical Physics (AREA)
- Architecture (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Networks & Wireless Communication (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
- Semiconductor Integrated Circuits (AREA)
- Logic Circuits (AREA)
- Power Sources (AREA)
- Semiconductor Memories (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
본 발명의 예시적인 실시예들은 집적 회로에 대한 클록 분배 네트워크(34)를 설계하기 위한 시스템들 및 방법에 관한 것이다. 실시예들은, 클록 스큐의 핵심 소스들을 식별하고, 클록의 타이밍을 엄격히 제어하며, 그 타이밍을 전체 클록 분배 네트워크 및 집적 회로 설계 내에 구축한다. 기재된 실시예들은 클록 분배 네트워크(CDN), 즉, 클록 생성 회로, 배선, 버퍼링 및 레지스터들을 로직의 나머지로부터 분리시켜 클록 트리 설계를 개선하고 영역 풋프린트를 감소시킨다. 일 실시예에서, CDN은 3D 집적 회로(31)의 별개의 티어(34)에 분리되고, CDN은 고밀도 티어-간 비아들(13)을 통하여 로직 티어(들)에 접속된다. 실시예들은, 모놀리식 3D 집적 회로들을 이용하는 구현에 대해 특히 유리하다.
Description
35
U.S.C
.§119 하의 우선권 주장
[0001] 본 특허 출원은, 발명의 명칭이 "CLOCK DISTRIBUTION NETWORK FOR 3D INTEGRATED CIRCUIT"이고 2012년 11월 28일자로 출원되었고, 본 발명의 양수인에게 양도되었으며, 이로써 본 명세서에 참조에 의해 명백히 포함되는 가출원 제 61/730,755호를 우선권으로 주장한다. 본 특허 출원은, 발명의 명칭이 "DATA TRANSFER ACROSS POWER DOMAINS"이고 2012년 11월 28일자로 출원되었고, 본 발명의 양수인에게 양도되었으며, 이로써 본 명세서에 참조에 의해 명백히 포함되는 가출원 제 61/730,767호를 우선권으로 주장한다.
동시-계류중인 특허
출원들에 대한 참조
[0002] 본 특허 출원은 다음의 동시-계류중인 미국 특허 출원(들), 즉, 2013년 3월 5일자로 출원되었고, 대리인 문서 번호 123412를 가지고, 본 발명의 양수인에게 양도되었으며, 본 명세서에 참조에 의해 명백히 포함되는 Yang Du, Jing Xie 및 Kambiz Samadi에 의한 "MONOLITHIC 3D IC FLIP-FLOP DESIGN"; 2013년 3월 7일자로 출원되었고, 대리인 문서 번호 120600을 가지고, 본 발명의 양수인에게 양도되었으며, 본 명세서에 참조에 의해 명백히 포함되는 Yang Du에 의한 "MONOLITHIC THREE DIMENSIONAL INTEGRATION OF SEMICONDUCTOR INTEGRATED CIRCUITS"; 및 [****]일자로 출원되었고, 대리인 문서 번호 124716을 가지고, 본 발명의 양수인에게 양도되었으며, 본 명세서에 참조에 의해 명백히 포함되는 Jing Xie 및 Yang Du에 의한 "DATA TRANSFER ACROSS POWER DOMAINS"에 관련된다.
개시의 분야
[0003] 기재된 실시예들은 일반적으로 집적 회로들에서의 클록 신호들의 생성에 관한 것이다. 더 상세하게는, 기재된 실시예들은, 높은-속도, 낮은 스큐(skew), 및 낮은 전력 소비를 갖는 스케일링가능(scalable) 클록 분배 네트워크를 전개하기 위한 효과적인 시스템들 및 방법들에 관한 것이다.
[0004] 동기식(synchronous) 집적 회로(IC)에서, 회로 내의 데이터의 이동에 대한 시간 기준을 정의하기 위해 클록 신호들이 사용된다. IC의 클록 분배 네트워크(예를 들어, 클록 생성 회로, 배선, 버퍼링 및 레지스터들)는, 클록 신호들을 생성하고, 그 클록 신호들을 특정한 포인트로부터 그들을 필요로 하는 회로 엘리먼트들 전부에 분배한다. 동기식 IC의 성능은, 동기식 IC의 클록 분배 네트워크 설계에 크게 의존한다. 클록 분배 네트워크의 적절한 설계는, 임계 타이밍 요건들이 충족되고 클록 스큐가 제어되는 것을 보장하도록 돕는다. IC가 더 커지는 경우, 그들의 클록 분배 네트워크들은 설계 리소스들의 큰 부분들을 차지하기 시작한다. 통상적으로, 클록 신호들은 가장 큰 팬-아웃(fan-out)을 가지며, 전체 설계 내의 임의의 제어 또는 데이터 신호 중 가장 높은 속도들에서 동작해야 한다. 클록 전력은, 통상적으로, 통상적인 IC의 총 전력 소비의 1/3보다 크며, (i) 클록 트리(tree) 배선, (ii) 클록 트리 버퍼들, 및 (iii) 클록 트리 싱크(sink)들(예를 들어, 플립-플롭들)로 인한 것이다. 따라서, 스케일링가능하고, 고속이고, 고성능이며, 저전력인 클록 분배 네트워크 설계를 개발하는 것은, 큰 IC들 내의 기존의 스큐/슬루(skew/slue) 제약들을 고려해 볼 때 매우 어렵다.
[0005] 3D IC들은, 더 높은-성능/더 낮은-전력 설계들을 제공할 수 있는 최신 기술이다. 그러나, 알려진 3D IC 구현들은, 클록 신호가 엄격한 스큐/슬루 제약들 하에서 다수의 티어(tier)들에 걸쳐 신뢰가능하게 스패닝(span)되어야 하기 때문에, 클록 분배 네트워크 설계 문제점들을 악화시키는 경향이 있다. 그러므로, 상이한 티어들은 그들 자신의 클록 트리 네트워크를 가질 것이다. 또한, 성능 및 전력을 열화시키지 않으면서 상이한 티어들을 스패닝하는 상이한 클록 네트워크들에 걸친 스큐/슬루 제약들을 충족시키는 것은 불가능하다. 이러한 문제에 대응하기 위해, 칩 레벨에서의 비동기식 동작이 요구되며, 이는, 그 후, 전력 소비, 속도, 및 영역 풋프린트(footprint)를 포함하는 다양한 파라미터들에서 자신 고유의 문제점들을 갖는다.
[0006] 따라서, 스케일링가능하고, 낮은-스큐이고, 고속이며, 고성능인 클록 분배 네트워크들을 전개하기 위한 시스템들 및 방법들에 대한 필요성이 존재한다. 추가적으로, 스케일링가능하고, 낮은-스큐이고, 고속이며, 고성능인 클록 분배 네트워크들을 3D IC 내에 전개하기 위한 시스템들 및 방법들에 대한 필요성이 존재한다.
본 발명의 예시적인 실시예들은, 집적 회로에 대한 클록 분배 네트워크를 설계하기 위한 시스템들 및 방법에 관한 것이다. 실시예들은, 클록 스큐의 핵심 소스들을 식별하고, 클록의 타이밍을 엄격히 제어하며, 그 타이밍을 전체 클록 분배 네트워크 및 집적 회로 설계 내에 구축(build)한다. 기재된 실시예들은, 클록 분배 네트워크(CDN), 즉, 클록 생성 회로, 배선, 버퍼링 및 레지스터들을 로직의 나머지(rest)로부터 분리시켜 클록 트리 설계를 개선하고 영역 풋프린트를 감소시킨다. 일 실시예에서, CDN은 3D 집적 회로의 별개의 티어에 분리되며, CDN은 고-밀도 티어-간(inter-tier) 비아(via)들을 통하여 로직 티어(들)에 접속된다. 실시예들은, 모놀리식(monolithic) 3D 집적 회로들을 이용하는 구현에 대해 특히 유리하다.
[0008] 기재된 실시예들은, 집적 회로에 대한 클록 분배 네트워크를 전개하는 방법을 포함하며, 단계들은, 클록 싱크들 사이의 타이밍 미스매치(mismatch)들을 포함하는 클록 스큐의 소스들을 캡쳐하는 단계; 집적 회로의 더 높은 레벨 작동 설명 및 상기 클록 스큐들의 소스들을 합성시켜 클록 분배 네트워크 및 조합 로직(combinational logic)을 포함하는 2D 레이아웃을 생성하는 단계; 상기 클록 분배 네트워크를 상기 조합 로직으로부터 분리시키는 단계 및 상기 클록 분배 네트워크를 집적 회로의 제 1 영역에 로케이팅시키는 단계; 및 상기 제 1 영역의 상기 조합 로직을 플로어플래닝(floorplanning)하는 단계를 포함한다. 추가적인 실시예에서, 집적 회로는 멀티-티어 회로를 더 포함하고; 상기 제 1 영역은 상기 멀티-티어 회로의 제 1 티어를 포함하며; 그리고 상기 조합 로직은 상기 멀티-티어 회로의 제 2 티어에 로케이팅된다.
[0009] 또한, 기재된 실시예들은 집적 회로의 클록 분배 네트워크를 포함하며, 집적 회로는, 상기 집적 회로의 제 1 영역에 분리된 클록 분배 네트워크; 상기 집적 회로들의 제 2 영역에 분리된 상기 집적 회로의 조합 로직; 및 상기 제 1 영역을 상기 제 2 영역에 접속시키는 비아들을 포함한다. 추가적인 실시예에서, 집적 회로는, 멀티-티어 회로를 포함하는 집적 회로를 포함하며; 상기 제 1 영역은 상기 멀티-티어 회로의 제 1 티어를 포함하고; 그리고 상기 제 2 영역은 상기 멀티-티어 회로의 제 2 티어를 포함한다.
[0010] 첨부된 도면들은 기재된 실시예들의 설명에 도움이 되도록 제시되며, 실시예들의 제한이 아닌 단지 실시예들의 예시를 위해 제공된다.
[0011] 도 1은, 기재된 실시예들의 방법을 예시하는 고레벨 흐름도이다.
[0012] 도 2는, 도 1의 흐름도의 더 상세한 예이다.
[0013] 도 3은, 기재된 실시예들의 2D 타이밍 아크(arc) 및 3D 타이밍 아크의 예이다.
[0014] 도 4는, 도 3의 클록 분배 네트워크의 더 상세한 예이다.
[0015] 도 5는, 도 4의 클록 분배 네트워크의 다른 더 상세한 예이다.
[0012] 도 2는, 도 1의 흐름도의 더 상세한 예이다.
[0013] 도 3은, 기재된 실시예들의 2D 타이밍 아크(arc) 및 3D 타이밍 아크의 예이다.
[0014] 도 4는, 도 3의 클록 분배 네트워크의 더 상세한 예이다.
[0015] 도 5는, 도 4의 클록 분배 네트워크의 다른 더 상세한 예이다.
[0016] 본 발명의 양상들은, 본 발명의 특정한 실시예들에 관련된 다음의 설명 및 관련된 도면들에 기재된다. 대안적인 실시예들은 본 발명의 범위를 벗어나지 않으면서 고안될 수도 있다. 부가적으로, 본 발명의 잘-알려진 엘리먼트들은, 본 발명의 관련 세부사항들을 불명료하게 하지 않기 위해 상세하게 설명되지 않거나, 생략될 것이다.
[0017] 단어 "예시적인"은 "예, 예시, 또는 예증으로서 기능하는 것"을 의미하도록 본 명세서에서 사용된다. "예시적인"것으로서 본 명세서에 설명된 임의의 실시예는 다른 실시예들에 비해 바람직하거나 유리한 것으로서 해석될 필요는 없다. 유사하게, 용어들 "본 발명의 실시예들"은, 본 발명의 모든 실시예들이 설명된 특성, 이점 또는 동작 모드를 포함하는 것을 요구하지는 않는다.
[0018] 본 명세서에 사용된 용어는 단지 특정한 실시예들을 설명하려는 목적을 위한 것이며, 본 발명의 실시예들을 제한하는 것으로 의도되지 않는다. 본 명세서에 사용된 바와 같이, 단수 형태들은, 문맥이 그렇지 않다는 것을 명확히 표시하지 않으면 복수 형태들을 또한 포함하도록 의도된다. 본 명세서에서 사용된 경우, 용어들 "구비하다", "구비하는", "포함하다" 및/또는 "포함하는"이 나타낸 특성들, 정수들, 단계들, 동작들, 엘리먼트들, 및/또는 컴포넌트들의 존재를 특정하지만, 하나 또는 그 초과의 다른 특성들, 정수들, 단계들, 동작들, 엘리먼트들, 컴포넌트들, 및/또는 그들의 그룹들의 존재 또는 부가를 배제하지 않음을 추가적으로 이해할 것이다.
[0019] 추가적으로, 많은 실시예들은, 예를 들어 컴퓨팅 디바이스의 엘리먼트들에 의해 수행될 동작들의 시퀀스들의 관점들에서 설명된다. 본 명세서에 설명된 다양한 동작들이 특정한 회로들(예를 들어, 주문형 집적 회로(ASIC)들)에 의해, 하나 또는 그 초과의 프로세서들에 의해 실행되는 프로그램 명령들에 의해, 또는 이 둘의 결합에 의해 수행될 수 있음이 인식될 것이다. 부가적으로, 본 명세서에 설명된 동작들의 시퀀스는 실행 시에, 연관된 프로세서로 하여금 본 명세서에 설명된 기능을 수행하게 할 컴퓨터 명령들의 대응하는 세트가 저장된 임의의 형태의 컴퓨터 판독가능 저장 매체 내에서 완전히 구현되는 것으로 고려될 수 있다. 따라서, 본 발명의 다양한 양상들은 다수의 상이한 형태들로 구현될 수도 있으며, 이들 전부는 청구된 요지의 범위 내에 있는 것으로 고려된다. 부가적으로, 본 명세서에 설명된 실시예들의 각각에 대해, 임의의 그러한 실시예들의 대응하는 형태는, 예를 들어, 설명된 동작을 수행 "하도록 구성된 로직"으로서 본 명세서에서 설명될 수도 있다.
[0020] 도 1은 기재된 실시예들의 설계 기술(100)을 예시하는 고-레벨 블록도이다. 설계 기술(100)은, 클록의 타이밍을 엄격히 제어하고, 그 타이밍을 전체 IC 설계 내에 구축하는 클록 분배 네트워크(CDN)를 전개한다. IC는, 디지털 컴포넌트들, 아날로그 컴포넌트들, 또는 둘 모두의 결합을 포함할 수도 있다. "로직" 회로에 대한 본 개시 전체에 걸친 참조는, 디지털 회로 컴포넌트들, 아날로그 회로 컴포넌트들 및 둘 모두의 결합들을 커버하도록 의도된다. 설계 기술(100)은, 단계(102)에서, 더 높은 레벨 작동 설명을 취하는 합성 동작을 수행하고, 설명된 동작들을 수행하는 복합 로직 회로들에 이를 합성시킨다. 더 높은 레벨 작동 설명은, 게이트들의 라이브러리(library)에 맵핑(map)될 수 있는 레지스터 전달 레벨(RTL) 설명으로서 구현될 수도 있다. RTL 설명은, 회로의 레지스터들 및 레지스터들 사이의 전달들의 시퀀스를 설명한다. 단계(102)에서 수행되는 합성은, 클록 분배 네트워크 타이밍 정보를 캡쳐한다.
[0021] 단계(104)는, (클록 생성 회로, 배선, 버퍼링 및 레지스터들을 포함하는) CDN을 단계(102)에서 전개된 남아있는 조합 로직으로부터 분리시킨다. 분리된 CDN은, 조합 로직의 나머지로부터의 CDN의 분리로 인해 더 작은 풋프린트를 커버한다. 더 작은 CDN은, 더 작은 버퍼들, 더 적은 배선, 및 감소된 전력을 초래한다. 더 양호한 라우팅가능성, 감소된 배선 길이, 증가된 성능 및 전력 소비에서의 감소를 위해 CDN을 분리시키는 것은 조합 로직의 복잡도를 감소시킨다. 단계(106)는, 플로어플래닝 기술들을 분리된 조합 로직에 적용시킨다. 본 개시에서 더 상세히 후술되는 바와 같이, 플로어플래닝 기술들은 2D 또는 3D일 수도 있다. 단계(108)에서, 비아들이 맵핑 아웃(map out)되어, 분리된 CDN의 클록 싱크들을 분리된 조합 로직의 대응하는 로직에 접속시킨다. 바람직하게, 비아들은, 단일 반도체 웨이퍼 상의 층들에서 고밀도로 제조될 수 있는 타입을 갖는다. 단계(110)는, 소망된 전체 성능 파라미터들이 도달될 때까지, 분리되고 플로어플래닝된 CDN에 최적화 기술들을 추가적으로 적용한다.
[0022] 도 2는, 도 1에 도시된 설계 기술(100)의 더 상세한 구현인 설계 기술(200)의 흐름도이다. 설계 기술(200)은 3D IC에 유리하게 적용될 수도 있다. 단계들(202 내지 210)은 기능 블록들에 대해 수행되고, 단계들(212 내지 218)은 3D IC의 블록 레벨에서 수행된다. 단계(202)에서 수행되는 합성 동작은, 본질적으로 단계(102)에서 수행되는 합성 동작과 동일하다. 단계(204)는, 클록 싱크들을 별개의 CDN 영역으로 이동시키고, 합성된 회로의 클록 싱크들을 포트들로 대체하여 클록 싱크들이 실제로 각각의 기능 블록 내부에 로케이팅되는 곳의 표시를 제공한다. 바람직하게, 설계 기술(200) 하의 별개의 CDN 영역은, 3D IC의 CDN(클록 생성 회로, 배선, 버퍼링, 레지스터들 등)에 전용된 별개의 티어이다. 단계(206)는, CDN 티어와 조합 로직 사이의 비아 접속들에 대한 폐쇄(blockage) 구역들의 배치를 결정한다. 비아들은, 합성된 회로에서 클록 싱크들이 로케이팅된 곳에 접속된다. 비아들은 고밀도이기 때문에, 특정한 클록 싱크에 대한 비아들의 개수는 클록 싱크로부터의 출력들의 개수에 대응할 수 있다. 예를 들어, 클록 싱크가 플립-플롭이고, 비아가 티어-간 비아인 경우, k개의 티어-간 비아들이 특정한 플립-플롭에 대해 할당될 수도 있으며, k는 플립-플롭 출력에 대한 접속들의 개수를 표현한다. 바람직하게, 단계(206)는, 폐쇄부들을 메시-유형(mesh-like) 방식으로 삽입하여 전체 블록에 걸쳐 클록 싱크들의 액세스가능성을 증가시킨다. 단계(208)는 로직 티어 상에 조합 로직을 배치시키고, 단계(210)는 클록 싱크들 각각을 자신의 연관된 폐쇄 구역에 맵핑시킨다.
[0023] 단계들(212 내지 218)은 3D IC의 블록 레벨에서 수행된다. 단계(212)는 플로어플래닝 기술들을 로직 티어에 적용한다. 기재된 실시예들은 스케일링가능하기 때문에, 더 많은 CDN 티어들을 부가함으로써 점점 더 커지는 IC들 및 CDN들이 수용된다. 따라서, 단계(212)에서의 플로어플래닝 기술들은 2D(단일 CDN 티어) 또는 3D(다수의 CDN 티어들)일 수도 있다. 단계들(214 내지 218)은, 소망된 전체 성능 파라미터들이 도달될 때까지, 분리되고 플로어플래닝된 로직 및 CDN에 추가적인 최적화 기술들을 적용한다. 단계(214)는, 클록 트리 합성을 플로어플래닝된 CDN 티어에 적용한다. 클록 트리 합성은, 클록 버퍼 삽입을 포함하고, 단계(206)로부터의 폐쇄 구역들의 배치에 대한 정보를 이용한다. 단계(216)는 블록-레벨 라우팅을 수행하고, 단계(218)는 포스트-라우트(post-route) 최적화를 수행한다. 단계(218)를 달성하는데 종래의 2D 포스트-라우트 최적화 엔진이 사용될 수도 있다.
[0024] 따라서, 상술된 설계 기술들은 다수의 이점들을 제공한다. 멀티-티어 설계의 적어도 하나의 티어가 CDN을 하우징(housing)하는데 우선(primarily) 전용되므로, 각각의 티어에 대한 클록 트리를 설계할 필요가 없으며, 그에 의해, 설계 복잡도를 감소시킨다. 또한, 기재된 실시예들의 설계 방법 하에서 더 적은 금속 층들이 존재하며, 이는, 알려진 3D 집적 회로 기술들과 비교하여 비용이 절약된다. 더 작은 CDN 풋프린트는, 더 적은 클록 전력(예를 들어, 대략적으로 30%의 전력 감소)을 초래하며, 이는, 적은 배선 및 적은 버퍼링을 초래한다. 기재된 실시예들의 방법으로부터 초래하는 클록 트리 설계는, 모든 클록 싱크들 및 (클록 버퍼들을 포함하는) CDN이 하나의 티어에 상주하기 때문에 더 간결(cleaner)하다. 분리된 CDN은, (더 작은 풋프린트로 인해 심지어 2D보다 더) 프로세스 변형에 대하여 현저하게 더 강건(robust)하다. 로직 티어 상의 적은 로직 복잡도로 인해 배선길이가 감소되어 개선된 성능을 초래한다. 개선된 클록 트리 설계는 개선된 타이밍 종결(closure)을 초래한다. (i) 타이밍 최적화에 대하여 어떠한 3D 네트(net)들도 존재하지 않는 실시예들에 대해 기존의 2D 타이밍 최적화 엔진들이 사용될 수 있고, (ii) 로직 티어를 거쳐 갈 필요가 없으므로 스캔 체인 라우팅(scan chain routing)이 간략화되기 때문에, 설계 복잡도가 감소된다. 기존의 순차적 (비-메모리)가 조합 셀들에 대해 설계 영역의 대략적으로 절반을 차지하는 경우, 티어들 사이의 용이한 밸런싱이 존재한다.
[0025] 도 3 내지 도 5는, 도 1 및 도 2에 도시된 설계 기술들을 구현하는 것으로부터 초래할 수 있는 회로 레이아웃들의 일반적인 구성들을 예시한다. 도 3은 2D 타이밍 아크(10) 및 3D 타이밍 아크(30)의 예를 도시한다. 도 3 내지 도 5는, 특정한 회로 예를 전달하도록 의도되는 것이 아니라 특정한 타입들의 회로/엘리먼트들(예를 들어, 클록 생성, 배선, 조합 로직, 클록 싱크들)을 대략적으로 표현하는 일반적인 구성들이다. 타이밍 아크(10)는, 클록 생성 모듈(CGM)(14), 클록 싱크들(CS)(16, 18), 배선(11), 및 조합 로직(20)을 포함하며, 이들 전부가 2D 내에 그리고 단일 티어(12) 전체에 걸쳐 분산되어 있다. 실제로, CGM(14)은 위상 고정 루프 회로로서 구현될 수 있고, 클록 싱크들(16, 18)은 플립-플롭 회로로서 구현될 수 있다. 일반적으로, 타이밍 아크들(10, 30)은, 예를 들어, 소위 론치(launch) 클록 싱크(16)로부터 소위 캡쳐 클록 싱크(18)까지의 설계 및/또는 지연 미스매치들을 포함하는 클록 스큐의 핵심 소스들을 정확히 캡쳐한다. 따라서, 클록 타이밍 요건들은 엄격히 제어되고 전체 IC 설계 내에 구축된다.
[0026] 타이밍 아크(30)는 멀티-티어 IC(31)의 단일 티어(34)에 분리된 CDN(CGM(14), CS(16, 18, 19), 및 배선(11))을 예시한다. 타이밍 임계인 조합 로직(20)은 CDN이 분리된 이후 배치된다. CDN이 전체 티어를 점유하지 않을 정도까지, 타이밍-임계 조합 로직(20) 중 일부 또는 그 전부가 동일한 CDN 티어 상에 배치될 수도 있다. 따라서, 타이밍-임계 조합 로직(20)은, CDN의 배치 이후에 공간(room)이 존재한다면 CDN 티어(34) 상에, 또는 CDN 티어(34)에 인접한 어느 하나의 티어(32, 36) 상에 배치될 수도 있다. 또한, 비-타이밍-임계 조합 로직(40)이, CDN 및 임의의 타이밍-임계 조합 로직(20)의 배치 이후에 공간이 존재한다면 CDN 티어(34) 상에, 또는 티어가 CDN 티어에 인접하든지 안하든지 간에 임의의 다른 티어(32, 36, 38, 39) 상에 배치될 수도 있다. 고밀도 비아들(13)은, 멀티-티어 회로(31) 내에 구축되고, CS(16, 18, 19)를 타이밍-임계 조합 로직(20)에 접속시킨다.
[0027] 타이밍 아크(30)는, 기재된 실시예들의 스케일링가능성을 추가적으로 예시한다. 멀티-티어 회로(31)는, CDN이, CDN의 사이즈를 수용하기 위해 요구되는 티어들 만큼 많이 분리되는 한, 점점 더 커지는 IC들에 대해 용이하게 스케일링가능하다. 도 3에 도시된 예에서, CDN(16, 18, 19, 14, 11)은 단일 티어(34) 상에 있지만, CDN 사이즈가 증가하면 더 많은 티어들(도시되지 않음)로 확장될 수도 있다. 유사하게, 타이밍-임계 조합 로직(20)의 사이즈가 증가하는 경우, 부가적인 티어들이 CDN 티어이거나 CDN 티어에 인접하는 한, 부가적인 티어들이 더 큰 타이밍-임계 로직을 수용하기 위해 부가될 수도 있다. 마지막으로, 비-타이밍-임계 조합 로직(40)의 사이즈가 증가하는 경우, 부가적인 티어들이 더 큰 비-타이밍-임계 조합 로직을 수용하기 위해 부가될 수도 있다.
[0028] 도 4는, 도 1 및 도 2에 도시된 설계 기술들을 구현하는 것으로부터 초래할 수 있는 회로 레이아웃들의 일반적인 구성의 추가적 예시이다. 도 3의 타이밍 아크들과 유사하게, 도 4는, 2D 레이아웃의 멀티-티어 구현과 함께, CDN 및 대응하는 로직을 갖는 2D 레이아웃의 예를 도시한다. 도 4는, 특정한 회로 예를 전달하도록 의도되는 것이 아니라 특정한 타입들의 회로/엘리먼트들(예를 들어, 클록 생성, 배선, 조합 로직, 클록 싱크들)을 대략적으로 표현하는 일반적인 구성이다. 2D 레이아웃(10a)은 클록 생성 모듈(CGM)(15), 클록 싱크들(CS)(16), 배선(11), 및 조합 로직(20)을 포함하며, 이들 전부가 2D 내에 그리고 단일 티어(12) 전체에 걸쳐 분산되어 있다. 실제로, CGM(15)은 위상 고정 루프 회로로서 구현될 수 있고, 클록 싱크들(16)은 플립-플롭 회로로서 구현될 수 있다. 배선(11)은 간략화를 위해 H-트리 포맷으로 도시되지만, 다양한 상이한 클록 트리 포맷들(예를 들어, 그리드(grid), 스파인(spine)들 등)로 구현될 수도 있다. 일반적으로, 2D 레이아웃(10)은, 예를 들어, 클록 싱크들(16) 사이의 설계 및/또는 지연 미스매치들을 포함하는 클록 스큐의 핵심 소스들을 정확히 캡쳐한다. 그에 의해, 클록 타이밍 요건들은 엄격히 제어되고 전체 IC 설계 내에 구축된다.
[0029] 도 4는, 멀티-티어 IC(31a)의 단일 티어(34)에 분리된 CDN(클록 버퍼(15), CS(16) 및 배선(11))을 추가적으로 예시한다. 타이밍 임계인 조합 로직(20)은 CDN이 분리된 이후 배치된다. CDN이 전체 티어를 점유하지 않을 정도까지, 타이밍-임계 조합 로직(20) 중 일부 또는 그 전부가 동일한 CDN 티어 상에 배치될 수도 있다. 따라서, 타이밍-임계 조합 로직(20)은, CDN의 배치 이후에 공간이 존재한다면 CDN 티어(34) 상에, 또는 CDN 티어(34)에 인접한 임의의 티어(예를 들어, 티어 36) 상에 배치될 수도 있다. 또한, 비-타이밍-임계 조합 로직(40)(도 3에 도시됨)이, CDN 및 임의의 타이밍-임계 조합 로직(20)의 배치 이후에 공간이 존재한다면 CDN 티어(34) 상에, 또는 티어가 CDN 티어에 인접하든지 안하든지 간에 임의의 다른 티어(32, 36, 38)(도 3에 도시됨) 상에 배치될 수도 있다. 고밀도 비아들(13)은, 멀티-티어 회로(31a) 내에 구축되고, CS(16)를 타이밍-임계 조합 로직(20)에 접속시킨다.
[0030] 도 5는, 도 3 및 도 4에 도시된 멀티-티어 회로(31a)의 더 상세한 예이다. 도 5의 멀티-티어 회로는, 적어도 CDN 티어(34) 및 로직 티어(36)를 갖는 모놀리식 3D IC(33)로서 도시된다. 도 5의 클록 싱크들은 플립-플롭(FF) 회로(17)로서 구현된다. 도 3 및 도 4의 고밀도 비아들(13)은, 모놀리식 티어-간 비아들(MIV)(15)로서 도 5에 구현 및 도시된다. 모놀리식 3D IC들에서, 전자 컴포넌트들 및 그들의 접속들(배선)은 단일 반도체 웨이퍼 상의 층들 내에 구축되고, 이는, 그 후 3D IC들로 다이싱(dice)된다. 하나의 기판만이 존재하므로, 얼라이닝(aligning) 또는 관통-실리콘 비아들은 필요가 없다.
[0031] 따라서, 상술된 실시예들은 클록 분배 네트워크를 로직의 나머지로부터 분리시킨다. 바람직하게, 클록 분배 네트워크는 모놀리식 3D 집적 기술을 사용하여 구현된다. 따라서, 단일 티어가 클록 서브시스템을 호스팅(host)할 것이고, 다른 티어(들)는 남아있는 조합 로직을 홀딩(hold)할 것이다. 본 개시 하의 클록 티어는, 클록 생성 회로(즉, PLL들 또는 DLL들), 클록 분배 배선(H-트리, 그리드, 스파인들 등), 및 설계의 순차적 엘리먼트들의 대부분(예를 들어, 75%)을 포함할 것이다. 클록 티어는, 더 양호한 클록 분배 제어를 위해 가장 넓은 금속 라인들을 포함할 것이다. 클록 분배 네트워크는, 로직의 나머지로부터의 클록 분배의 분리로 인해 더 작은 풋프린트를 포함한다. 더 작은 클록 네트워크는, 더 작은 버퍼들, 더 적은 배선, 및 감소된 전력을 초래한다. 더 양호한 라우팅가능성, 감소된 배선 길이, 증가된 성능 및 전력 소비에서의 감소를 위해 클록 분배를 분리시키는 것은, 조합 로직의 복잡도를 감소시킨다. 모놀리식 3D 집적에 의해 제공되는 고밀도 티어-간 비아들은, 클록 티어 상의 클록 티어들을 로직 티어 상의 대응하는 로직에 접속시키는데 사용된다.
[0032] 전술한 개시 및 예시들이 본 발명의 실시예들을 나타내지만, 다양한 변화들 및 변형들이 첨부된 청구항들에 의해 정의된 바와 같은 본 발명의 범위를 벗어나지 않으면서 본 명세서에서 행해질 수 있음을 유의되어야 한다. 예를 들어, 본 명세서에 설명된 본 발명의 실시예들에 따른 방법 청구항들의 기능들, 단계들 및/또는 동작들이 임의의 특정한 순서로 수행될 필요는 없다. 또한, 본 발명의 엘리먼트들이 단수형으로 설명되거나 청구될 수도 있지만, 단수형으로의 제한이 명시적으로 언급되지 않으면 복수형이 고려된다.
[0033] 또한, 당업자들은 본 명세서에 기재된 실시예들과 관련하여 설명된 다양한 예시적인 로직 블록들, 모듈들, 회로들, 및 알고리즘 단계들이 전자 하드웨어, 컴퓨터 소프트웨어, 또는 이 둘의 결합들로서 구현될 수도 있음을 인식할 것이다. 하드웨어와 소프트웨어의 이러한 상호교환가능성을 명확히 예시하기 위해, 다양한 예시적인 컴포넌트들, 블록들, 모듈들, 회로들, 및 단계들은 그들의 기능의 관점들에서 일반적으로 상술되었다. 그러한 기능이 하드웨어로서 구현되는지 또는 소프트웨어로서 구현되는지는 특정 애플리케이션 및 전체 시스템에 부과된 설계 제한들에 의존한다. 당업자들은 설명된 기능을 각각의 특정한 애플리케이션에 대해 다양한 방식들로 구현할 수도 있지만, 그러한 구현 결정들이 본 발명의 범위를 벗어나게 하는 것으로서 해석되지는 않아야 한다.
[0034] 본 명세서에서 기재된 실시예들과 관련하여 설명된 방법들, 시퀀스들 및/또는 알고리즘들은 직접 하드웨어로, 프로세서에 의해 실행되는 소프트웨어 모듈로, 또는 이 둘의 결합으로 구현될 수도 있다. 소프트웨어 모듈은 RAM 메모리, 플래시 메모리, ROM 메모리, EPROM 메모리, EEPROM 메모리, 레지스터들, 하드 디스크, 착탈형 디스크, CD-ROM, 또는 당업계에 알려진 임의의 다른 형태의 저장 매체에 상주할 수도 있다. 예시적인 저장 매체는, 프로세서가 저장 매체로부터 정보를 판독하고, 저장 매체에 정보를 기입할 수 있도록 프로세서에 커플링된다. 대안적으로, 저장 매체는 프로세서에 통합될 수도 있다. 따라서, 본 발명의 실시예는, 기재 및 청구된 실시예를 수행하기 위한 방법을 구현하는 컴퓨터 판독가능 매체들을 포함할 수 있다. 따라서, 본 발명은 예시된 예들로 제한되지 않으며, 본 명세서에 설명된 기능을 수행하기 위한 임의의 수단은 본 발명의 실시예들에 포함된다.
Claims (25)
- 저장된 명령들을 갖는 비-일시적인(non-transitory) 컴퓨터 판독가능 매체로서,
상기 명령들은, 컴퓨터에 의해 실행될 때, 집적 회로에 대한 클록 분배 네트워크를 전개하는 방법을 수행하고,
상기 방법은:
클록 싱크(sink)들 사이의 타이밍 미스매치(mismatch)들을 포함하는 클록 스큐(skew)의 소스들을 캡쳐하는 단계;
클록 분배 네트워크로 상기 클록 싱크들을 이동시키는 단계;
상기 클록 분배 네트워크 및 조합 로직을 포함하는 2D 레이아웃을 생성하기 위해, 상기 클록 스큐의 소스들 및 상기 집적 회로의 작동 설명(behavioral description)을 합성하는(synthesize) 단계;
상기 조합 로직으로부터 상기 클록 분배 네트워크를 분리시키고, 그리고 상기 클록 분배 네트워크를 상기 집적 회로의 제 1 영역에 위치시키는(locate) 단계;
상기 클록 분배 네트워크 및 상기 조합 로직 사이의 비아(via) 접속들을 제공하는 비아들을 결정하는 단계 ―상기 비아 접속들은 상기 클록 싱크들을 이동시키는 단계 이전에 상기 클록 싱크들이 위치되었던 곳에 있음 ―; 및
상기 제 1 영역의 상기 조합 로직을 플로어플래닝하는(floorplanning) 단계를 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 1 항에 있어서,
상기 방법은 상기 제 1 영역의 상기 클록 분배 네트워크에 추가적인 최적화를 적용하는 단계를 더 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 2 항에 있어서,
상기 추가적인 최적화는 클록 트리(tree) 합성을 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 3 항에 있어서,
상기 추가적인 최적화는 블록-레벨 라우팅(routing)을 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 4 항에 있어서,
상기 추가적인 최적화는 포스트-라우트(post-route) 최적화를 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 2 항에 있어서,
상기 집적 회로는 멀티-티어(multi-tier) 회로를 포함하고;
상기 제 1 영역은 상기 멀티-티어 회로의 제 1 티어를 포함하고; 그리고
상기 조합 로직은 상기 멀티-티어 회로의 제 2 티어에 위치되는, 비-일시적인 컴퓨터 판독가능 매체. - 제 6 항에 있어서,
상기 제 1 티어는 상기 제 2 티어에 인접한, 비-일시적인 컴퓨터 판독가능 매체. - 제 6 항에 있어서,
상기 조합 로직은 비-타이밍 임계(non-timing critical) 조합 로직을 더 포함하고; 그리고
상기 비-타이밍 임계 조합 로직은 상기 멀티-티어 회로의 제 3 티어에 위치되는, 비-일시적인 컴퓨터 판독가능 매체. - 제 8 항에 있어서,
상기 제 3 티어는 상기 제 1 티어에 인접하지 않은, 비-일시적인 컴퓨터 판독가능 매체. - 제 6 항에 있어서,
상기 조합 로직은 상기 멀티-티어 회로의 제 4 티어에 추가적으로 위치되고; 그리고
상기 제 4 티어는 상기 제 1 티어에 인접한, 비-일시적인 컴퓨터 판독가능 매체. - 제 10 항에 있어서,
상기 조합 로직은 비-타이밍 임계(non-timing critical) 조합 로직을 더 포함하고; 그리고
상기 비-타이밍 임계 조합 로직은 상기 멀티-티어 회로의 제 5 티어에 위치되는, 비-일시적인 컴퓨터 판독가능 매체. - 제 11 항에 있어서,
상기 제 5 티어는 상기 제 1 티어에 인접하지 않은, 비-일시적인 컴퓨터 판독가능 매체. - 제 1 항에 있어서,
상기 비아들은 티어-간(inter-tier) 비아들을 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 13 항에 있어서,
상기 티어-간 비아들은 고밀도로 이루어지는, 비-일시적인 컴퓨터 판독가능 매체. - 제 1 항에 있어서,
상기 집적 회로는:
상기 집적 회로의 제 1 티어와 분리되는 상기 클록 분배 네트워크; 및
상기 집적 회로의 제 2 티어와 분리되는 상기 조합 로직을 포함하고,
상기 비아들은 상기 제 1 티어를 상기 제 2 티어에 접속시키는, 비-일시적인 컴퓨터 판독가능 매체. - 제 15 항에 있어서,
상기 집적 회로는 멀티-티어 회로를 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 16 항에 있어서,
상기 제 1 티어는 상기 제 2 티어에 인접한, 비-일시적인 컴퓨터 판독가능 매체. - 제 16 항에 있어서,
상기 집적 회로는 비-타이밍 임계 조합 로직을 더 포함하고,
상기 비-타이밍 임계 조합 로직은 상기 집적 회로의 제 3 티어와 분리되는, 비-일시적인 컴퓨터 판독가능 매체. - 제 18 항에 있어서,
상기 제 3 티어는 상기 제 1 티아와 인접하지 않은, 비-일시적인 컴퓨터 판독가능 매체. - 제 16 항에 있어서,
상기 조합 로직은 상기 멀티-티어 회로의 제 4 티어에 추가적으로 위치되고; 그리고
상기 제 4 티어는 상기 제 1 티어에 인접한, 비-일시적인 컴퓨터 판독가능 매체. - 제 20 항에 있어서,
상기 집적 회로는 비-타이밍 임계 조합 로직(40)을 더 포함하고; 그리고
상기 비-타이밍 임계 조합 로직은 상기 멀티-티어 회로의 제 5 티어(39)에 위치되는, 비-일시적인 컴퓨터 판독가능 매체. - 제 21 항에 있어서,
상기 제 5 티어는 상기 제 1 티어에 인접하지 않은, 비-일시적인 컴퓨터 판독가능 매체. - 제 15 항에 있어서,
상기 비아들은 티어-간 비아들을 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 제 23 항에 있어서,
상기 티어-간 비아들은 고밀도 비아들을 포함하는, 비-일시적인 컴퓨터 판독가능 매체. - 삭제
Applications Claiming Priority (9)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261730755P | 2012-11-28 | 2012-11-28 | |
US201261730767P | 2012-11-28 | 2012-11-28 | |
US61/730,767 | 2012-11-28 | ||
US61/730,755 | 2012-11-28 | ||
US13/792,486 US9098666B2 (en) | 2012-11-28 | 2013-03-11 | Clock distribution network for 3D integrated circuit |
US13/792,486 | 2013-03-11 | ||
US13/792,592 US8984463B2 (en) | 2012-11-28 | 2013-03-11 | Data transfer across power domains |
US13/792,592 | 2013-03-11 | ||
PCT/US2013/072380 WO2014085689A1 (en) | 2012-11-28 | 2013-11-27 | Clock distribution network for 3d integrated circuit |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20150090166A KR20150090166A (ko) | 2015-08-05 |
KR101612795B1 true KR101612795B1 (ko) | 2016-04-15 |
Family
ID=50772543
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020157016747A KR101612795B1 (ko) | 2012-11-28 | 2013-11-27 | 3d 집적 회로를 위한 클록 분배 네트워크 |
KR1020157016769A KR101600947B1 (ko) | 2012-11-28 | 2013-11-27 | 전력 도메인들 간의 데이터 트랜스퍼 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020157016769A KR101600947B1 (ko) | 2012-11-28 | 2013-11-27 | 전력 도메인들 간의 데이터 트랜스퍼 |
Country Status (6)
Country | Link |
---|---|
US (2) | US8984463B2 (ko) |
EP (2) | EP2926280A1 (ko) |
JP (4) | JP2016506116A (ko) |
KR (2) | KR101612795B1 (ko) |
CN (2) | CN104937596B (ko) |
WO (2) | WO2014085685A2 (ko) |
Families Citing this family (207)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8669778B1 (en) | 2009-04-14 | 2014-03-11 | Monolithic 3D Inc. | Method for design and manufacturing of a 3D semiconductor device |
US8395191B2 (en) | 2009-10-12 | 2013-03-12 | Monolithic 3D Inc. | Semiconductor device and structure |
US8058137B1 (en) | 2009-04-14 | 2011-11-15 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US9509313B2 (en) | 2009-04-14 | 2016-11-29 | Monolithic 3D Inc. | 3D semiconductor device |
US10157909B2 (en) | 2009-10-12 | 2018-12-18 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11018133B2 (en) | 2009-10-12 | 2021-05-25 | Monolithic 3D Inc. | 3D integrated circuit |
US9099424B1 (en) | 2012-08-10 | 2015-08-04 | Monolithic 3D Inc. | Semiconductor system, device and structure with heat removal |
US10388863B2 (en) | 2009-10-12 | 2019-08-20 | Monolithic 3D Inc. | 3D memory device and structure |
US12027518B1 (en) | 2009-10-12 | 2024-07-02 | Monolithic 3D Inc. | 3D semiconductor devices and structures with metal layers |
US10043781B2 (en) | 2009-10-12 | 2018-08-07 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11374118B2 (en) | 2009-10-12 | 2022-06-28 | Monolithic 3D Inc. | Method to form a 3D integrated circuit |
US10354995B2 (en) | 2009-10-12 | 2019-07-16 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US11984445B2 (en) | 2009-10-12 | 2024-05-14 | Monolithic 3D Inc. | 3D semiconductor devices and structures with metal layers |
US10366970B2 (en) | 2009-10-12 | 2019-07-30 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US9385088B2 (en) | 2009-10-12 | 2016-07-05 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10910364B2 (en) | 2009-10-12 | 2021-02-02 | Monolitaic 3D Inc. | 3D semiconductor device |
US9099526B2 (en) | 2010-02-16 | 2015-08-04 | Monolithic 3D Inc. | Integrated circuit device and structure |
US8026521B1 (en) | 2010-10-11 | 2011-09-27 | Monolithic 3D Inc. | Semiconductor device and structure |
US10217667B2 (en) | 2011-06-28 | 2019-02-26 | Monolithic 3D Inc. | 3D semiconductor device, fabrication method and system |
US9953925B2 (en) | 2011-06-28 | 2018-04-24 | Monolithic 3D Inc. | Semiconductor system and device |
US8163581B1 (en) | 2010-10-13 | 2012-04-24 | Monolith IC 3D | Semiconductor and optoelectronic devices |
US11482440B2 (en) | 2010-12-16 | 2022-10-25 | Monolithic 3D Inc. | 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits |
US10497713B2 (en) | 2010-11-18 | 2019-12-03 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11024673B1 (en) | 2010-10-11 | 2021-06-01 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10896931B1 (en) | 2010-10-11 | 2021-01-19 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10290682B2 (en) | 2010-10-11 | 2019-05-14 | Monolithic 3D Inc. | 3D IC semiconductor device and structure with stacked memory |
US11315980B1 (en) | 2010-10-11 | 2022-04-26 | Monolithic 3D Inc. | 3D semiconductor device and structure with transistors |
US11018191B1 (en) | 2010-10-11 | 2021-05-25 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11600667B1 (en) | 2010-10-11 | 2023-03-07 | Monolithic 3D Inc. | Method to produce 3D semiconductor devices and structures with memory |
US11257867B1 (en) | 2010-10-11 | 2022-02-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with oxide bonds |
US11227897B2 (en) | 2010-10-11 | 2022-01-18 | Monolithic 3D Inc. | Method for producing a 3D semiconductor memory device and structure |
US11469271B2 (en) | 2010-10-11 | 2022-10-11 | Monolithic 3D Inc. | Method to produce 3D semiconductor devices and structures with memory |
US11158674B2 (en) | 2010-10-11 | 2021-10-26 | Monolithic 3D Inc. | Method to produce a 3D semiconductor device and structure |
US11605663B2 (en) | 2010-10-13 | 2023-03-14 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US10833108B2 (en) | 2010-10-13 | 2020-11-10 | Monolithic 3D Inc. | 3D microdisplay device and structure |
US12080743B2 (en) | 2010-10-13 | 2024-09-03 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11855114B2 (en) | 2010-10-13 | 2023-12-26 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11929372B2 (en) | 2010-10-13 | 2024-03-12 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11164898B2 (en) | 2010-10-13 | 2021-11-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US10943934B2 (en) | 2010-10-13 | 2021-03-09 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US11855100B2 (en) | 2010-10-13 | 2023-12-26 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US11063071B1 (en) | 2010-10-13 | 2021-07-13 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with waveguides |
US11043523B1 (en) | 2010-10-13 | 2021-06-22 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11327227B2 (en) | 2010-10-13 | 2022-05-10 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with electromagnetic modulators |
US11437368B2 (en) | 2010-10-13 | 2022-09-06 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US12094892B2 (en) | 2010-10-13 | 2024-09-17 | Monolithic 3D Inc. | 3D micro display device and structure |
US10978501B1 (en) | 2010-10-13 | 2021-04-13 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with waveguides |
US10679977B2 (en) | 2010-10-13 | 2020-06-09 | Monolithic 3D Inc. | 3D microdisplay device and structure |
US11869915B2 (en) | 2010-10-13 | 2024-01-09 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US10998374B1 (en) | 2010-10-13 | 2021-05-04 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US11694922B2 (en) | 2010-10-13 | 2023-07-04 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US11163112B2 (en) | 2010-10-13 | 2021-11-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with electromagnetic modulators |
US11404466B2 (en) | 2010-10-13 | 2022-08-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11984438B2 (en) | 2010-10-13 | 2024-05-14 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US11133344B2 (en) | 2010-10-13 | 2021-09-28 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11735462B2 (en) | 2010-11-18 | 2023-08-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with single-crystal layers |
US11443971B2 (en) | 2010-11-18 | 2022-09-13 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US11854857B1 (en) | 2010-11-18 | 2023-12-26 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11355381B2 (en) | 2010-11-18 | 2022-06-07 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11923230B1 (en) | 2010-11-18 | 2024-03-05 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US11495484B2 (en) | 2010-11-18 | 2022-11-08 | Monolithic 3D Inc. | 3D semiconductor devices and structures with at least two single-crystal layers |
US11018042B1 (en) | 2010-11-18 | 2021-05-25 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11862503B2 (en) | 2010-11-18 | 2024-01-02 | Monolithic 3D Inc. | Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11107721B2 (en) | 2010-11-18 | 2021-08-31 | Monolithic 3D Inc. | 3D semiconductor device and structure with NAND logic |
US11094576B1 (en) | 2010-11-18 | 2021-08-17 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11004719B1 (en) | 2010-11-18 | 2021-05-11 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11901210B2 (en) | 2010-11-18 | 2024-02-13 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US11610802B2 (en) | 2010-11-18 | 2023-03-21 | Monolithic 3D Inc. | Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes |
US12125737B1 (en) | 2010-11-18 | 2024-10-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US11164770B1 (en) | 2010-11-18 | 2021-11-02 | Monolithic 3D Inc. | Method for producing a 3D semiconductor memory device and structure |
US11121021B2 (en) | 2010-11-18 | 2021-09-14 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11482438B2 (en) | 2010-11-18 | 2022-10-25 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11784082B2 (en) | 2010-11-18 | 2023-10-10 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US11508605B2 (en) | 2010-11-18 | 2022-11-22 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11355380B2 (en) | 2010-11-18 | 2022-06-07 | Monolithic 3D Inc. | Methods for producing 3D semiconductor memory device and structure utilizing alignment marks |
US12033884B2 (en) | 2010-11-18 | 2024-07-09 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11211279B2 (en) | 2010-11-18 | 2021-12-28 | Monolithic 3D Inc. | Method for processing a 3D integrated circuit and structure |
US11804396B2 (en) | 2010-11-18 | 2023-10-31 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11482439B2 (en) | 2010-11-18 | 2022-10-25 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors |
US11569117B2 (en) | 2010-11-18 | 2023-01-31 | Monolithic 3D Inc. | 3D semiconductor device and structure with single-crystal layers |
US11031275B2 (en) | 2010-11-18 | 2021-06-08 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US12100611B2 (en) | 2010-11-18 | 2024-09-24 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US12068187B2 (en) | 2010-11-18 | 2024-08-20 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding and DRAM memory cells |
US11521888B2 (en) | 2010-11-18 | 2022-12-06 | Monolithic 3D Inc. | 3D semiconductor device and structure with high-k metal gate transistors |
US11615977B2 (en) | 2010-11-18 | 2023-03-28 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US10388568B2 (en) | 2011-06-28 | 2019-08-20 | Monolithic 3D Inc. | 3D semiconductor device and system |
US8832478B2 (en) | 2011-10-27 | 2014-09-09 | Intel Corporation | Enabling a non-core domain to control memory bandwidth in a processor |
US8557632B1 (en) | 2012-04-09 | 2013-10-15 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US11694944B1 (en) | 2012-04-09 | 2023-07-04 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11476181B1 (en) | 2012-04-09 | 2022-10-18 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11881443B2 (en) | 2012-04-09 | 2024-01-23 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US10600888B2 (en) | 2012-04-09 | 2020-03-24 | Monolithic 3D Inc. | 3D semiconductor device |
US11594473B2 (en) | 2012-04-09 | 2023-02-28 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11164811B2 (en) | 2012-04-09 | 2021-11-02 | Monolithic 3D Inc. | 3D semiconductor device with isolation layers and oxide-to-oxide bonding |
US11410912B2 (en) | 2012-04-09 | 2022-08-09 | Monolithic 3D Inc. | 3D semiconductor device with vias and isolation layers |
US11088050B2 (en) | 2012-04-09 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device with isolation layers |
US11735501B1 (en) | 2012-04-09 | 2023-08-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11616004B1 (en) | 2012-04-09 | 2023-03-28 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US9064077B2 (en) * | 2012-11-28 | 2015-06-23 | Qualcomm Incorporated | 3D floorplanning using 2D and 3D blocks |
US8984463B2 (en) * | 2012-11-28 | 2015-03-17 | Qualcomm Incorporated | Data transfer across power domains |
US11967583B2 (en) | 2012-12-22 | 2024-04-23 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US8674470B1 (en) | 2012-12-22 | 2014-03-18 | Monolithic 3D Inc. | Semiconductor device and structure |
US11063024B1 (en) | 2012-12-22 | 2021-07-13 | Monlithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US11784169B2 (en) | 2012-12-22 | 2023-10-10 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US12051674B2 (en) | 2012-12-22 | 2024-07-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11018116B2 (en) | 2012-12-22 | 2021-05-25 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US11961827B1 (en) | 2012-12-22 | 2024-04-16 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11916045B2 (en) | 2012-12-22 | 2024-02-27 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11309292B2 (en) | 2012-12-22 | 2022-04-19 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11217565B2 (en) | 2012-12-22 | 2022-01-04 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US10892169B2 (en) | 2012-12-29 | 2021-01-12 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11430667B2 (en) | 2012-12-29 | 2022-08-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US9385058B1 (en) | 2012-12-29 | 2016-07-05 | Monolithic 3D Inc. | Semiconductor device and structure |
US11430668B2 (en) | 2012-12-29 | 2022-08-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US10651054B2 (en) | 2012-12-29 | 2020-05-12 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11087995B1 (en) | 2012-12-29 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11004694B1 (en) | 2012-12-29 | 2021-05-11 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10903089B1 (en) | 2012-12-29 | 2021-01-26 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10600657B2 (en) | 2012-12-29 | 2020-03-24 | Monolithic 3D Inc | 3D semiconductor device and structure |
US10115663B2 (en) | 2012-12-29 | 2018-10-30 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US9871034B1 (en) | 2012-12-29 | 2018-01-16 | Monolithic 3D Inc. | Semiconductor device and structure |
US11177140B2 (en) | 2012-12-29 | 2021-11-16 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US9536840B2 (en) | 2013-02-12 | 2017-01-03 | Qualcomm Incorporated | Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods |
US12094965B2 (en) | 2013-03-11 | 2024-09-17 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US10325651B2 (en) | 2013-03-11 | 2019-06-18 | Monolithic 3D Inc. | 3D semiconductor device with stacked memory |
US8902663B1 (en) | 2013-03-11 | 2014-12-02 | Monolithic 3D Inc. | Method of maintaining a memory state |
US11869965B2 (en) | 2013-03-11 | 2024-01-09 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US11935949B1 (en) | 2013-03-11 | 2024-03-19 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US11398569B2 (en) | 2013-03-12 | 2022-07-26 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11088130B2 (en) | 2014-01-28 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10840239B2 (en) | 2014-08-26 | 2020-11-17 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US8994404B1 (en) | 2013-03-12 | 2015-03-31 | Monolithic 3D Inc. | Semiconductor device and structure |
US11923374B2 (en) | 2013-03-12 | 2024-03-05 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US12100646B2 (en) | 2013-03-12 | 2024-09-24 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US10224279B2 (en) | 2013-03-15 | 2019-03-05 | Monolithic 3D Inc. | Semiconductor device and structure |
US9171608B2 (en) | 2013-03-15 | 2015-10-27 | Qualcomm Incorporated | Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods |
US9117749B1 (en) | 2013-03-15 | 2015-08-25 | Monolithic 3D Inc. | Semiconductor device and structure |
US11574109B1 (en) | 2013-04-15 | 2023-02-07 | Monolithic 3D Inc | Automation methods for 3D integrated circuits and devices |
US11030371B2 (en) | 2013-04-15 | 2021-06-08 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11341309B1 (en) | 2013-04-15 | 2022-05-24 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11487928B2 (en) | 2013-04-15 | 2022-11-01 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11270055B1 (en) | 2013-04-15 | 2022-03-08 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11720736B2 (en) | 2013-04-15 | 2023-08-08 | Monolithic 3D Inc. | Automation methods for 3D integrated circuits and devices |
US9021414B1 (en) | 2013-04-15 | 2015-04-28 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US9013235B2 (en) * | 2013-07-16 | 2015-04-21 | Qualcomm Incorporated | Monolithic three dimensional (3D) flip-flops with minimal clock skew and related systems and methods |
US11031394B1 (en) | 2014-01-28 | 2021-06-08 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11107808B1 (en) | 2014-01-28 | 2021-08-31 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US12094829B2 (en) | 2014-01-28 | 2024-09-17 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10297586B2 (en) | 2015-03-09 | 2019-05-21 | Monolithic 3D Inc. | Methods for processing a 3D semiconductor device |
JP6328974B2 (ja) * | 2014-03-28 | 2018-05-23 | 株式会社メガチップス | 半導体装置及び半導体装置の設計手法 |
US9256246B1 (en) * | 2015-01-29 | 2016-02-09 | Qualcomm Incorporated | Clock skew compensation with adaptive body biasing in three-dimensional (3D) integrated circuits (ICs) (3DICs) |
US9483598B2 (en) * | 2015-02-09 | 2016-11-01 | Qualcomm Incorporated | Intellectual property block design with folded blocks and duplicated pins for 3D integrated circuits |
US9508615B2 (en) | 2015-02-09 | 2016-11-29 | Qualcomm Incorporated | Clock tree synthesis for low cost pre-bond testing of 3D integrated circuits |
US9537471B2 (en) * | 2015-02-09 | 2017-01-03 | Qualcomm Incorporated | Three dimensional logic circuit |
US11056468B1 (en) | 2015-04-19 | 2021-07-06 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10825779B2 (en) | 2015-04-19 | 2020-11-03 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11011507B1 (en) | 2015-04-19 | 2021-05-18 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10381328B2 (en) | 2015-04-19 | 2019-08-13 | Monolithic 3D Inc. | Semiconductor device and structure |
GB2540741B (en) * | 2015-07-14 | 2018-05-09 | Advanced Risc Mach Ltd | Clock signal distribution and signal value storage |
US11956952B2 (en) | 2015-08-23 | 2024-04-09 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US10033386B2 (en) | 2015-09-01 | 2018-07-24 | Samsung Electronics Co., Ltd. | Semiconductor circuits |
US9722611B2 (en) | 2015-09-01 | 2017-08-01 | Samsung Electronics Co., Ltd. | Semiconductor circuits |
KR102386907B1 (ko) | 2015-09-10 | 2022-04-14 | 삼성전자주식회사 | 반도체 집적 회로 |
US9824174B2 (en) | 2015-09-11 | 2017-11-21 | Qualcomm Incorporated | Power-density-based clock cell spacing |
WO2017053329A1 (en) | 2015-09-21 | 2017-03-30 | Monolithic 3D Inc | 3d semiconductor device and structure |
US11114427B2 (en) | 2015-11-07 | 2021-09-07 | Monolithic 3D Inc. | 3D semiconductor processor and memory device and structure |
US11978731B2 (en) | 2015-09-21 | 2024-05-07 | Monolithic 3D Inc. | Method to produce a multi-level semiconductor memory device and structure |
US11937422B2 (en) | 2015-11-07 | 2024-03-19 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US12100658B2 (en) | 2015-09-21 | 2024-09-24 | Monolithic 3D Inc. | Method to produce a 3D multilayer semiconductor device and structure |
DE102015218959A1 (de) * | 2015-09-30 | 2017-03-30 | Zf Friedrichshafen Ag | Diagnose eines Steuergeräts |
US10522225B1 (en) | 2015-10-02 | 2019-12-31 | Monolithic 3D Inc. | Semiconductor device with non-volatile memory |
US11296115B1 (en) | 2015-10-24 | 2022-04-05 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11991884B1 (en) | 2015-10-24 | 2024-05-21 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US12016181B2 (en) | 2015-10-24 | 2024-06-18 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US11114464B2 (en) | 2015-10-24 | 2021-09-07 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US12120880B1 (en) | 2015-10-24 | 2024-10-15 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US12035531B2 (en) | 2015-10-24 | 2024-07-09 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US10418369B2 (en) | 2015-10-24 | 2019-09-17 | Monolithic 3D Inc. | Multi-level semiconductor memory device and structure |
US10847540B2 (en) | 2015-10-24 | 2020-11-24 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US9960769B2 (en) * | 2015-12-17 | 2018-05-01 | Nxp B.V. | Power-domain optimization |
US11251149B2 (en) | 2016-10-10 | 2022-02-15 | Monolithic 3D Inc. | 3D memory device and structure |
US11812620B2 (en) | 2016-10-10 | 2023-11-07 | Monolithic 3D Inc. | 3D DRAM memory devices and structures with control circuits |
US11329059B1 (en) | 2016-10-10 | 2022-05-10 | Monolithic 3D Inc. | 3D memory devices and structures with thinned single crystal substrates |
US11930648B1 (en) | 2016-10-10 | 2024-03-12 | Monolithic 3D Inc. | 3D memory devices and structures with metal layers |
US11869591B2 (en) | 2016-10-10 | 2024-01-09 | Monolithic 3D Inc. | 3D memory devices and structures with control circuits |
US11711928B2 (en) | 2016-10-10 | 2023-07-25 | Monolithic 3D Inc. | 3D memory devices and structures with control circuits |
US10318694B2 (en) * | 2016-11-18 | 2019-06-11 | Qualcomm Incorporated | Adaptive multi-tier power distribution grids for integrated circuits |
US10141045B2 (en) * | 2016-12-15 | 2018-11-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual rail device with power detector for controlling power to first and second power domains |
US9929733B1 (en) | 2017-02-21 | 2018-03-27 | Qualcomm Incorporated | Connection propagation for inter-logical block connections in integrated circuits |
US10256796B2 (en) | 2017-03-03 | 2019-04-09 | Qualcomm Incorporated | Master-slave level shifter array architecture with pre-defined power-up states |
CN106960087B (zh) * | 2017-03-13 | 2020-05-19 | 上海华力微电子有限公司 | 一种时钟分布网络结构及其生成方法 |
US10833078B2 (en) * | 2017-12-04 | 2020-11-10 | Tokyo Electron Limited | Semiconductor apparatus having stacked gates and method of manufacture thereof |
US10796068B2 (en) * | 2018-09-11 | 2020-10-06 | Samsung Electronics Co., Ltd. | Standard cell design system, standard cell design optimization method thereof, and semiconductor design system |
CN109818492B (zh) * | 2019-01-28 | 2021-01-22 | 上海华虹宏力半导体制造有限公司 | 一种可降低干扰的二级电源产生电路 |
CN109960851B (zh) * | 2019-02-22 | 2023-04-28 | 南方电网科学研究院有限责任公司 | 一种基于不同电压域的数据传输方法及握手协议电路 |
US10892016B1 (en) | 2019-04-08 | 2021-01-12 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11018156B2 (en) | 2019-04-08 | 2021-05-25 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11763864B2 (en) | 2019-04-08 | 2023-09-19 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures with bit-line pillars |
US11158652B1 (en) | 2019-04-08 | 2021-10-26 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11296106B2 (en) | 2019-04-08 | 2022-04-05 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
CN112084733B (zh) * | 2020-08-14 | 2024-06-21 | 深圳天狼芯半导体有限公司 | 芯片的时钟树布图方法及装置 |
US11719738B2 (en) | 2020-10-15 | 2023-08-08 | Samsung Display Co., Ltd. | Two-domain two-stage sensing front-end circuits and systems |
US11455454B2 (en) * | 2020-11-24 | 2022-09-27 | Arm Limited | Methods and apparatuses for concurrent coupling of inter-tier connections |
CN115224936A (zh) * | 2021-04-15 | 2022-10-21 | 瑞昱半导体股份有限公司 | 具有自适应机制的电压转换电路 |
US12061853B2 (en) * | 2021-04-21 | 2024-08-13 | Arm Limited | Multi-dimensional network interface |
CN114239475B (zh) * | 2021-12-17 | 2023-05-16 | 郑州信大华芯信息科技有限公司 | 低频数模混合模块时钟结构及扫描链设计方法 |
US12087387B2 (en) * | 2022-03-02 | 2024-09-10 | Samsung Electronics Co., Ltd. | Methods and systems for managing read operation of memory device with single ended read path |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080276212A1 (en) | 2007-05-02 | 2008-11-06 | Albrecht Christophe | Optimizing integrated circuit design through balanced combinational slack plus sequential slack |
US20100115477A1 (en) | 2007-05-02 | 2010-05-06 | Cadence Design Systems, Inc. | Optimizing integrated circuit design through use of sequential timing information |
Family Cites Families (141)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3593348B2 (ja) | 1992-12-29 | 2004-11-24 | 富士通株式会社 | 集積回路 |
JPH07176688A (ja) | 1993-12-20 | 1995-07-14 | Mitsubishi Electric Corp | 半導体集積回路 |
US5495419A (en) | 1994-04-19 | 1996-02-27 | Lsi Logic Corporation | Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing |
JPH08129576A (ja) * | 1994-11-01 | 1996-05-21 | Matsushita Electric Ind Co Ltd | 半導体装置のマスクレイアウト設計方法 |
US5724557A (en) * | 1995-07-10 | 1998-03-03 | Motorola, Inc. | Method for designing a signal distribution network |
US5760478A (en) | 1996-08-20 | 1998-06-02 | International Business Machines Corporation | Clock skew minimization system and method for integrated circuits |
US6374200B1 (en) | 1997-02-03 | 2002-04-16 | Fujitsu Limited | Layout apparatus for laying out objects in space and method thereof |
JP3577404B2 (ja) * | 1997-08-27 | 2004-10-13 | 株式会社東芝 | 電圧レベル変換機能付ラッチ回路及びフリップフロップ回路 |
US6037822A (en) | 1997-09-30 | 2000-03-14 | Intel Corporation | Method and apparatus for distributing a clock on the silicon backside of an integrated circuit |
US6686623B2 (en) | 1997-11-18 | 2004-02-03 | Semiconductor Energy Laboratory Co., Ltd. | Nonvolatile memory and electronic apparatus |
US6295636B1 (en) | 1998-02-20 | 2001-09-25 | Lsi Logic Corporation | RTL analysis for improved logic synthesis |
JP4085459B2 (ja) | 1998-03-02 | 2008-05-14 | セイコーエプソン株式会社 | 3次元デバイスの製造方法 |
US6022770A (en) * | 1998-03-24 | 2000-02-08 | International Business Machines Corporation | NVRAM utilizing high voltage TFT device and method for making the same |
US6260182B1 (en) | 1998-03-27 | 2001-07-10 | Xilinx, Inc. | Method for specifying routing in a logic module by direct module communication |
US6305001B1 (en) | 1998-06-18 | 2001-10-16 | Lsi Logic Corporation | Clock distribution network planning and method therefor |
US6125217A (en) | 1998-06-26 | 2000-09-26 | Intel Corporation | Clock distribution network |
US7157314B2 (en) | 1998-11-16 | 2007-01-02 | Sandisk Corporation | Vertically stacked field programmable nonvolatile memory and method of fabrication |
FR2797713B1 (fr) | 1999-08-20 | 2002-08-02 | Soitec Silicon On Insulator | Procede de traitement de substrats pour la microelectronique et substrats obtenus par ce procede |
JP2001160612A (ja) | 1999-12-01 | 2001-06-12 | Takehide Shirato | 半導体装置及びその製造方法 |
US7483329B2 (en) | 2000-01-06 | 2009-01-27 | Super Talent Electronics, Inc. | Flash card and controller with integrated voltage converter for attachment to a bus that can operate at either of two power-supply voltages |
KR100549258B1 (ko) | 2000-06-02 | 2006-02-03 | 주식회사 실트론 | 에스오아이 웨이퍼 제조 방법 |
US6834380B2 (en) | 2000-08-03 | 2004-12-21 | Qualcomm, Incorporated | Automated EMC-driven layout and floor planning of electronic devices and systems |
US6627985B2 (en) | 2001-12-05 | 2003-09-30 | Arbor Company Llp | Reconfigurable processor module comprising hybrid stacked integrated circuit die elements |
US6754877B1 (en) | 2001-12-14 | 2004-06-22 | Sequence Design, Inc. | Method for optimal driver selection |
US6730540B2 (en) | 2002-04-18 | 2004-05-04 | Tru-Si Technologies, Inc. | Clock distribution networks and conductive lines in semiconductor integrated circuits |
JP4131119B2 (ja) * | 2002-04-19 | 2008-08-13 | 富士通株式会社 | 半導体集積回路設計方法及び半導体集積回路設計プログラム |
DE10226915A1 (de) | 2002-06-17 | 2004-01-08 | Infineon Technologies Ag | Verfahren zum Verändern von Entwurfsdaten für die Herstellung eines Bauteils sowie zugehörige Einheiten |
US6979630B2 (en) | 2002-08-08 | 2005-12-27 | Isonics Corporation | Method and apparatus for transferring a thin layer of semiconductor material |
US7209378B2 (en) | 2002-08-08 | 2007-04-24 | Micron Technology, Inc. | Columnar 1T-N memory cell structure |
US7358121B2 (en) | 2002-08-23 | 2008-04-15 | Intel Corporation | Tri-gate devices and methods of fabrication |
US7508034B2 (en) | 2002-09-25 | 2009-03-24 | Sharp Kabushiki Kaisha | Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device |
US7042756B2 (en) | 2002-10-18 | 2006-05-09 | Viciciv Technology | Configurable storage device |
US6965527B2 (en) | 2002-11-27 | 2005-11-15 | Matrix Semiconductor, Inc | Multibank memory on a die |
US7138685B2 (en) | 2002-12-11 | 2006-11-21 | International Business Machines Corporation | Vertical MOSFET SRAM cell |
JP4554152B2 (ja) | 2002-12-19 | 2010-09-29 | 株式会社半導体エネルギー研究所 | 半導体チップの作製方法 |
US6727530B1 (en) | 2003-03-04 | 2004-04-27 | Xindium Technologies, Inc. | Integrated photodetector and heterojunction bipolar transistors |
US6911375B2 (en) | 2003-06-02 | 2005-06-28 | International Business Machines Corporation | Method of fabricating silicon devices on sapphire with wafer bonding at low temperature |
US8071438B2 (en) | 2003-06-24 | 2011-12-06 | Besang Inc. | Semiconductor circuit |
US7107200B1 (en) | 2003-10-03 | 2006-09-12 | Sun Microsystems, Inc. | Method and apparatus for predicting clock skew for incomplete integrated circuit design |
JP2005252048A (ja) * | 2004-03-05 | 2005-09-15 | Nec Electronics Corp | 半導体集積回路装置及びその製造方法 |
US7378702B2 (en) | 2004-06-21 | 2008-05-27 | Sang-Yun Lee | Vertical memory device structures |
US7546571B2 (en) | 2004-09-08 | 2009-06-09 | Mentor Graphics Corporation | Distributed electronic design automation environment |
US20060190889A1 (en) | 2005-01-14 | 2006-08-24 | Cong Jingsheng J | Circuit floorplanning and placement by look-ahead enabled recursive partitioning |
US7218549B2 (en) * | 2005-01-31 | 2007-05-15 | Kabushiki Kaisha Toshiba | Memory cell with stability switch for stable read operation and improved write operation |
WO2006135780A1 (en) | 2005-06-10 | 2006-12-21 | The Regents Of The University Of California | Fast dual-vdd buffer insertion and buffered tree construction for power minimization |
WO2007002799A1 (en) | 2005-06-29 | 2007-01-04 | Lightspeed Logic, Inc. | Methods and systems for placement |
US7280397B2 (en) | 2005-07-11 | 2007-10-09 | Sandisk 3D Llc | Three-dimensional non-volatile SRAM incorporating thin-film device layer |
DE102005039365B4 (de) | 2005-08-19 | 2022-02-10 | Infineon Technologies Ag | Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis |
US7663620B2 (en) | 2005-12-05 | 2010-02-16 | Microsoft Corporation | Accessing 2D graphic content using axonometric layer views |
US20070244676A1 (en) | 2006-03-03 | 2007-10-18 | Li Shang | Adaptive analysis methods |
US7579654B2 (en) | 2006-05-31 | 2009-08-25 | Corning Incorporated | Semiconductor on insulator structure made using radiation annealing |
KR20080038535A (ko) | 2006-10-30 | 2008-05-07 | 삼성전자주식회사 | 스택형 반도체 장치의 제조 방법 |
CN101548286B (zh) * | 2006-12-25 | 2012-10-10 | 株式会社半导体能源研究所 | 半导体装置 |
US7859117B2 (en) | 2007-02-27 | 2010-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Clocking architecture in stacked and bonded dice |
JP4957297B2 (ja) * | 2007-03-06 | 2012-06-20 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
US7669152B1 (en) | 2007-03-13 | 2010-02-23 | Silicon Frontline Technology Inc. | Three-dimensional hierarchical coupling extraction |
US8513791B2 (en) | 2007-05-18 | 2013-08-20 | International Business Machines Corporation | Compact multi-port CAM cell implemented in 3D vertical integration |
US20080291767A1 (en) | 2007-05-21 | 2008-11-27 | International Business Machines Corporation | Multiple wafer level multiple port register file cell |
US7796092B2 (en) | 2007-05-24 | 2010-09-14 | The Boeing Company | Broadband composite dipole antenna arrays for optical wave mixing |
US7459716B2 (en) | 2007-06-11 | 2008-12-02 | Kabushiki Kaisha Toshiba | Resistance change memory device |
US8136071B2 (en) | 2007-09-12 | 2012-03-13 | Neal Solomon | Three dimensional integrated circuits and methods of fabrication |
US8046727B2 (en) | 2007-09-12 | 2011-10-25 | Neal Solomon | IP cores in reconfigurable three dimensional integrated circuits |
US8059443B2 (en) | 2007-10-23 | 2011-11-15 | Hewlett-Packard Development Company, L.P. | Three-dimensional memory module architectures |
US7710796B2 (en) * | 2007-11-06 | 2010-05-04 | International Business Machines Corporation | Level shifter for boosting wordline voltage and memory cell performance |
JP2009164480A (ja) | 2008-01-09 | 2009-07-23 | Toshiba Corp | 抵抗変化メモリ装置 |
US7622955B2 (en) * | 2008-04-17 | 2009-11-24 | Texas Instruments Incorporated | Power savings with a level-shifting boundary isolation flip-flop (LSIFF) and a clock controlled data retention scheme |
US8218377B2 (en) | 2008-05-19 | 2012-07-10 | Stmicroelectronics Pvt. Ltd. | Fail-safe high speed level shifter for wide supply voltage range |
US8716805B2 (en) | 2008-06-10 | 2014-05-06 | Toshiba America Research, Inc. | CMOS integrated circuits with bonded layers containing functional electronic devices |
US8060843B2 (en) | 2008-06-18 | 2011-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Verification of 3D integrated circuits |
US8006212B2 (en) | 2008-07-30 | 2011-08-23 | Synopsys, Inc. | Method and system for facilitating floorplanning for 3D IC |
EP2161755A1 (en) | 2008-09-05 | 2010-03-10 | University College Cork-National University of Ireland, Cork | Junctionless Metal-Oxide-Semiconductor Transistor |
US8230375B2 (en) | 2008-09-14 | 2012-07-24 | Raminda Udaya Madurawe | Automated metal pattern generation for integrated circuits |
WO2010062644A2 (en) | 2008-10-28 | 2010-06-03 | The Regents Of The University Of California | Vertical group iii-v nanowires on si, heterostructures, flexible arrays and fabrication |
US8531204B2 (en) | 2008-11-13 | 2013-09-10 | Nxp, B.V. | Testable integrated circuit and test method therefor |
US20100140790A1 (en) | 2008-12-05 | 2010-06-10 | Seagate Technology Llc | Chip having thermal vias and spreaders of cvd diamond |
US8146032B2 (en) | 2009-01-30 | 2012-03-27 | Synopsys, Inc. | Method and apparatus for performing RLC modeling and extraction for three-dimensional integrated circuit (3D-IC) designs |
US7884004B2 (en) | 2009-02-04 | 2011-02-08 | International Business Machines Corporation | Maskless process for suspending and thinning nanowires |
WO2010098151A1 (ja) | 2009-02-24 | 2010-09-02 | 日本電気株式会社 | 半導体装置およびその製造方法 |
US8214790B2 (en) * | 2009-03-04 | 2012-07-03 | Oracle America | Low RC global clock distribution |
US8115511B2 (en) | 2009-04-14 | 2012-02-14 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US9509313B2 (en) | 2009-04-14 | 2016-11-29 | Monolithic 3D Inc. | 3D semiconductor device |
US8395191B2 (en) | 2009-10-12 | 2013-03-12 | Monolithic 3D Inc. | Semiconductor device and structure |
US8258810B2 (en) | 2010-09-30 | 2012-09-04 | Monolithic 3D Inc. | 3D semiconductor device |
US7964916B2 (en) | 2009-04-14 | 2011-06-21 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8362482B2 (en) | 2009-04-14 | 2013-01-29 | Monolithic 3D Inc. | Semiconductor device and structure |
US8341501B2 (en) * | 2009-04-30 | 2012-12-25 | International Business Machines Corporation | Adaptive endurance coding of non-volatile memories |
WO2010134019A2 (en) | 2009-05-19 | 2010-11-25 | Ramot At Tel Aviv University Ltd. | Vertical junction pv cells |
US8422273B2 (en) | 2009-05-21 | 2013-04-16 | International Business Machines Corporation | Nanowire mesh FET with multiple threshold voltages |
PT2457258E (pt) * | 2009-08-26 | 2016-02-26 | Fraunhofer Ges Zur Fã Rderung Der Angewandten Forschung E V | Circuito de derivação e de proteção para um módulo solar e método para o controlo de módulo solar |
US7955940B2 (en) | 2009-09-01 | 2011-06-07 | International Business Machines Corporation | Silicon-on-insulator substrate with built-in substrate junction |
US8426309B2 (en) | 2009-09-10 | 2013-04-23 | Lockheed Martin Corporation | Graphene nanoelectric device fabrication |
JP2013506917A (ja) | 2009-09-30 | 2013-02-28 | サンプリファイ システムズ インコーポレイテッド | 圧縮及び復元を用いたマルチ・プロセッサの波形データ交換の改善 |
US8164089B2 (en) | 2009-10-08 | 2012-04-24 | Xerox Corporation | Electronic device |
US8450804B2 (en) | 2011-03-06 | 2013-05-28 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
US8247895B2 (en) | 2010-01-08 | 2012-08-21 | International Business Machines Corporation | 4D device process and structure |
US8026521B1 (en) | 2010-10-11 | 2011-09-27 | Monolithic 3D Inc. | Semiconductor device and structure |
US8298875B1 (en) | 2011-03-06 | 2012-10-30 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
WO2011101463A1 (en) | 2010-02-19 | 2011-08-25 | University College Cork - National University Of Ireland, Cork | A transistor device |
US8450779B2 (en) | 2010-03-08 | 2013-05-28 | International Business Machines Corporation | Graphene based three-dimensional integrated circuit device |
US8315084B2 (en) | 2010-03-10 | 2012-11-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fully balanced dual-port memory cell |
US8698140B2 (en) | 2010-03-15 | 2014-04-15 | Hitachi, Ltd. | Semiconductor device, and test method for same |
US20110272788A1 (en) | 2010-05-10 | 2011-11-10 | International Business Machines Corporation | Computer system wafer integrating different dies in stacked master-slave structures |
US8395942B2 (en) | 2010-05-17 | 2013-03-12 | Sandisk Technologies Inc. | Junctionless TFT NAND flash memory |
CN101907881B (zh) * | 2010-06-04 | 2012-05-23 | 西安电子科技大学 | 可编程式数字脉冲发生器 |
US8332803B1 (en) | 2010-06-28 | 2012-12-11 | Xilinx, Inc. | Method and apparatus for integrated circuit package thermo-mechanical reliability analysis |
US7969193B1 (en) | 2010-07-06 | 2011-06-28 | National Tsing Hua University | Differential sensing and TSV timing control scheme for 3D-IC |
CN102315197B (zh) * | 2010-07-09 | 2013-04-17 | 中国科学院微电子研究所 | 3d集成电路结构以及检测芯片结构是否对齐的方法 |
JP5395009B2 (ja) * | 2010-07-30 | 2014-01-22 | 株式会社半導体理工学研究センター | サブスレッショルドsramのための電源電圧制御回路及び制御方法 |
TWI562313B (en) | 2010-09-06 | 2016-12-11 | shu lu Chen | Electrical switch using a recessed channel gated resistor structure and method for three dimensional integration of semiconductor device |
US8273610B2 (en) | 2010-11-18 | 2012-09-25 | Monolithic 3D Inc. | Method of constructing a semiconductor device and structure |
US8114757B1 (en) | 2010-10-11 | 2012-02-14 | Monolithic 3D Inc. | Semiconductor device and structure |
CN201956999U (zh) * | 2010-10-15 | 2011-08-31 | 北京工业大学 | 3d多米诺集成电路时钟网络 |
CN102754102B (zh) | 2010-12-09 | 2016-02-03 | 松下电器产业株式会社 | 三维集成电路的设计支持装置及设计支持方法 |
US8691179B2 (en) | 2011-01-04 | 2014-04-08 | Korea Institute Of Science And Technology | Method for fabricating graphene sheets or graphene particles using supercritical fluid |
US8409957B2 (en) | 2011-01-19 | 2013-04-02 | International Business Machines Corporation | Graphene devices and silicon field effect transistors in 3D hybrid integrated circuits |
US8487378B2 (en) | 2011-01-21 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-uniform channel junction-less transistor |
JP5684590B2 (ja) | 2011-01-28 | 2015-03-11 | ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. | 半導体装置 |
FR2972077B1 (fr) | 2011-02-24 | 2013-08-30 | Thales Sa | Composant electronique, procede de fabrication et utilisation de graphene dans un composant electronique |
WO2012119053A1 (en) | 2011-03-02 | 2012-09-07 | King Abdullah University Of Science And Technology | Cylindrical-shaped nanotube field effect transistor |
US8975670B2 (en) | 2011-03-06 | 2015-03-10 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
FR2973938A1 (fr) | 2011-04-08 | 2012-10-12 | Soitec Silicon On Insulator | Procédés de formation de structures semi-conductrices collées, et structures semi-conductrices formées par ces procédés |
US8685825B2 (en) | 2011-07-27 | 2014-04-01 | Advanced Ion Beam Technology, Inc. | Replacement source/drain finFET fabrication |
FR2978604B1 (fr) | 2011-07-28 | 2018-09-14 | Soitec | Procede de guerison de defauts dans une couche semi-conductrice |
US8683416B1 (en) | 2011-07-28 | 2014-03-25 | Juniper Networks, Inc. | Integrated circuit optimization |
FR2978605B1 (fr) | 2011-07-28 | 2015-10-16 | Soitec Silicon On Insulator | Procede de fabrication d'une structure semi-conductrice comprenant une couche fonctionnalisee sur un substrat support |
US8576000B2 (en) | 2011-08-25 | 2013-11-05 | International Business Machines Corporation | 3D chip stack skew reduction with resonant clock and inductive coupling |
US8803233B2 (en) | 2011-09-23 | 2014-08-12 | International Business Machines Corporation | Junctionless transistor |
TWI573198B (zh) | 2011-09-27 | 2017-03-01 | 索泰克公司 | 在三度空間集積製程中轉移材料層之方法及其相關結構與元件 |
US8580624B2 (en) | 2011-11-01 | 2013-11-12 | International Business Machines Corporation | Nanowire FET and finFET hybrid technology |
TWI456739B (zh) | 2011-12-13 | 2014-10-11 | Nat Univ Tsing Hua | 三維記憶體晶片之控制結構 |
WO2013101003A1 (en) | 2011-12-28 | 2013-07-04 | Intel Corporation | Techniques and configurations for stacking transistors of an integrated circuit device |
JP5456090B2 (ja) | 2012-03-13 | 2014-03-26 | 株式会社東芝 | 半導体装置およびその製造方法 |
KR20130126036A (ko) | 2012-05-10 | 2013-11-20 | 삼성전자주식회사 | 트랜지스터를 구비한 반도체 소자 |
US8737108B2 (en) | 2012-09-25 | 2014-05-27 | Intel Corporation | 3D memory configurable for performance and power |
US8701073B1 (en) | 2012-09-28 | 2014-04-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for across-chip thermal and power management in stacked IC designs |
US9490811B2 (en) | 2012-10-04 | 2016-11-08 | Efinix, Inc. | Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing |
US8984463B2 (en) * | 2012-11-28 | 2015-03-17 | Qualcomm Incorporated | Data transfer across power domains |
US9385058B1 (en) | 2012-12-29 | 2016-07-05 | Monolithic 3D Inc. | Semiconductor device and structure |
US9536840B2 (en) | 2013-02-12 | 2017-01-03 | Qualcomm Incorporated | Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods |
US20140225218A1 (en) | 2013-02-12 | 2014-08-14 | Qualcomm Incorporated | Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems |
US9171608B2 (en) | 2013-03-15 | 2015-10-27 | Qualcomm Incorporated | Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods |
-
2013
- 2013-03-11 US US13/792,592 patent/US8984463B2/en active Active
- 2013-03-11 US US13/792,486 patent/US9098666B2/en not_active Expired - Fee Related
- 2013-11-27 KR KR1020157016747A patent/KR101612795B1/ko not_active IP Right Cessation
- 2013-11-27 CN CN201380071377.XA patent/CN104937596B/zh not_active Expired - Fee Related
- 2013-11-27 JP JP2015544211A patent/JP2016506116A/ja active Pending
- 2013-11-27 EP EP13811061.4A patent/EP2926280A1/en not_active Withdrawn
- 2013-11-27 JP JP2015544212A patent/JP5944590B2/ja not_active Expired - Fee Related
- 2013-11-27 CN CN201380068496.XA patent/CN104885085B/zh active Active
- 2013-11-27 EP EP13811060.6A patent/EP2926279B1/en active Active
- 2013-11-27 WO PCT/US2013/072374 patent/WO2014085685A2/en active Application Filing
- 2013-11-27 KR KR1020157016769A patent/KR101600947B1/ko active IP Right Grant
- 2013-11-27 WO PCT/US2013/072380 patent/WO2014085689A1/en active Application Filing
-
2016
- 2016-03-11 JP JP2016048183A patent/JP6490612B2/ja not_active Expired - Fee Related
-
2018
- 2018-11-19 JP JP2018216399A patent/JP2019062208A/ja active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080276212A1 (en) | 2007-05-02 | 2008-11-06 | Albrecht Christophe | Optimizing integrated circuit design through balanced combinational slack plus sequential slack |
US20100115477A1 (en) | 2007-05-02 | 2010-05-06 | Cadence Design Systems, Inc. | Optimizing integrated circuit design through use of sequential timing information |
Non-Patent Citations (1)
Title |
---|
ARUNACHALAM VENKATESH et al., "Low-power clock distribution in a multilayer core 3d microprocessor", PROCEEDINGS OF THE 18TH ACM GREAT LAKES SYMPOSIUM ON VLSI(pages 429-434), 1 January 2008 |
Also Published As
Publication number | Publication date |
---|---|
EP2926279A2 (en) | 2015-10-07 |
KR20150090166A (ko) | 2015-08-05 |
CN104885085B (zh) | 2021-08-27 |
EP2926280A1 (en) | 2015-10-07 |
CN104937596A (zh) | 2015-09-23 |
JP6490612B2 (ja) | 2019-03-27 |
JP2016158254A (ja) | 2016-09-01 |
JP2016505931A (ja) | 2016-02-25 |
US8984463B2 (en) | 2015-03-17 |
WO2014085689A1 (en) | 2014-06-05 |
JP2016506116A (ja) | 2016-02-25 |
US20140145347A1 (en) | 2014-05-29 |
EP2926279B1 (en) | 2018-06-13 |
KR20150082656A (ko) | 2015-07-15 |
WO2014085685A3 (en) | 2014-12-24 |
JP5944590B2 (ja) | 2016-07-05 |
JP2019062208A (ja) | 2019-04-18 |
US20140146630A1 (en) | 2014-05-29 |
WO2014085685A2 (en) | 2014-06-05 |
CN104885085A (zh) | 2015-09-02 |
KR101600947B1 (ko) | 2016-03-08 |
CN104937596B (zh) | 2016-08-24 |
US9098666B2 (en) | 2015-08-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101612795B1 (ko) | 3d 집적 회로를 위한 클록 분배 네트워크 | |
US9508615B2 (en) | Clock tree synthesis for low cost pre-bond testing of 3D integrated circuits | |
Thonnart et al. | A fully-asynchronous low-power framework for GALS NoC integration | |
TWI569303B (zh) | 產生待被平面規劃至一三維(3d)積體電路之電路區塊之一庫的方法及設備及非暫態電腦可讀取儲存媒體 | |
US8719753B1 (en) | Stacked die network-on-chip for FPGA | |
US9032343B1 (en) | Integrating multiple FPGA designs by merging configuration settings | |
US8813013B2 (en) | Partitioning designs to facilitate certification | |
US20060080632A1 (en) | Integrated circuit layout having rectilinear structure of objects | |
US20100271084A1 (en) | Souce-synchronous data link for system-on-chip design | |
US20120176155A1 (en) | Rescaling | |
US9697309B1 (en) | Metastability-hardened synchronization circuit | |
EP2586128B1 (en) | Rescaling | |
US9053773B2 (en) | Method and apparatus for clock power saving in multiport latch arrays | |
Ghoneima et al. | Variation‐Tolerant and Low‐Power Source‐Synchronous Multicycle On‐Chip Interconnect Scheme | |
US7290225B2 (en) | Method for compressing semiconductor integrated circuit, using design region divided into plural blocks | |
JP3332020B2 (ja) | 半導体集積回路の配線レイアウトシステムおよびクロック配線の設計方法 | |
JP2008091406A (ja) | 半導体集積回路のレイアウト方法 | |
De Micheli et al. | Design Methods and Tools for 3D integration | |
US8843866B2 (en) | Support apparatus, design support method, and computer-readable recording medium | |
JP4003071B2 (ja) | 半導体集積回路の設計方法及び設計装置 | |
JP2011134072A (ja) | 半導体集積回路の設計方法及びプログラム | |
KR20220145259A (ko) | 다차원 네트워크 인터페이스 | |
Sabado II | Asynchronous 3D (Async3D): Design Methodology and Analysis of 3D Asynchronous Circuits | |
Yang | Three-dimensional pipeline clock network design with multi-layer processor chip and multi-clock VLSI system | |
Koti | Physical design of USB1. 1 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
A302 | Request for accelerated examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
LAPS | Lapse due to unpaid annual fee |