CN104937596A - 用于3d集成电路的时钟分布网络 - Google Patents

用于3d集成电路的时钟分布网络 Download PDF

Info

Publication number
CN104937596A
CN104937596A CN201380071377.XA CN201380071377A CN104937596A CN 104937596 A CN104937596 A CN 104937596A CN 201380071377 A CN201380071377 A CN 201380071377A CN 104937596 A CN104937596 A CN 104937596A
Authority
CN
China
Prior art keywords
layer
clock
combinational logic
integrated circuit
sequential
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380071377.XA
Other languages
English (en)
Other versions
CN104937596B (zh
Inventor
K·萨马迪
S·A·潘
J·谢
Y·杜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN104937596A publication Critical patent/CN104937596A/zh
Application granted granted Critical
Publication of CN104937596B publication Critical patent/CN104937596B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Power Engineering (AREA)
  • Mathematical Physics (AREA)
  • Architecture (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Logic Circuits (AREA)
  • Power Sources (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明的示例性实施例涉及用于设计用于集成电路的时钟分布网络(34)的系统和方法。实施例识别时钟偏移的关键来源,严格控制时钟的时序并将该时序构建到总体时钟分布网络和集成电路设计中。所公开的实施例将时钟分布网络(CDN),即时钟发生电路、接线、缓冲和寄存器,与逻辑的其余部分分离,以改进时钟树设计并减小面积占用。在一个实施例中,CDN被分离到3D集成电路(31)的单独的层(34),并且CDN经由高密度层间通孔(13)而被连接到(多个)逻辑层。实施例对于具有单片式3D集成电路的实施方式尤其有利。

Description

用于3D集成电路的时钟分布网络
根据35U.S.C§119的优先权主张
本专利申请要求如下申请的优先权:
2012年11月28日提交、题为“CLOCK DISTRIBUTION NETWORKFOR 3D INTEGRATED CIRCUIT”、并且被转让给本申请的受让人的临时申请No.61/730755,并且通过引用的方式被明确地并入本文中。
2012年11月28日提交、题为“DATA TRANSFER ACROSS POWERDOMAINS”、并且被转让给本申请的受让人的临时申请No.61/730767,并且通过引用的方式被明确地并入本文中。
对共同未决的专利申请的引用
本专利申请涉及以下共同未决的(多个)美国专利申请:
2013年3月5日提交的Yang Du、Jing Xie和Kambiz Samadi的“MONOLITHIC 3D IC FLIP-FLOP DESIGN”,代理人案号No.123412,被转让给本申请的受让人,并且通过引用的方式被明确地并入本文中;
2013年3月7日提交的Yang Du的“MONOLITHIC THREEDIMENSIONAL INTEGRATION OF SEMICONDUCTOR INTEGRATEDCIRCUITS”,代理人案号No.120600,被转让给本申请的受让人,并且通过引用的方式被明确地并入本文中;以及
[****]提交的Jing Xie和Yang Du的“DATA TRANSFER ACROSSPOWER DOMAINS”,代理人案号No.124716,被转让给本申请的受让人,并且通过引用的方式被明确地并入本文中。
技术领域
所公开的实施例总体上涉及集成电路中的时钟信号的产生。更具体而言,所公开的实施例涉及用于开发具有高速度、低偏移和低功耗的可缩放时钟分布网络的高效率系统和方法。
背景技术
在同步集成电路(IC)中,时钟信号用于为电路内的数据的移动定义时间参考。IC的时钟分布网络(例如,时钟发生电路、接线、缓冲和寄存器)产生时钟信号并将它们从特定点分布到需要它们的所有电路元件。同步IC的性能严重依赖于其时钟分布网络设计。时钟分布网络的适当设计有助于确保满足关键的时序要求并且控制时钟偏移。随着IC变得越来越大,它们的时钟分布网络开始占据设计资源的很大部分。时钟信号通常具有最大的扇出,并且必须要在整个设计内的任何控制或数据信号的最高速度下进行操作。时钟功率通常超过典型IC的总功耗的三分之一,并且是由于(i)时钟树接线、(ii)时钟树缓冲、以及(iii)时钟树汇点(例如,触发器)。因此,考虑到大型IC中的现有偏移/旋转约束,开发可缩放的、高速、高性能且低功耗的时钟分布网络设计是极其困难的。
3D IC是能够提供较高性能/较低功率设计的新兴技术。然而,已知的3D IC实施方式容易加剧时钟分布网络设计的难题,因为时钟信号不得不在严苛的偏移/旋转约束下可靠地跨越多个层。因此,不同的层将具有其自己的时钟树网络。还不可能在跨越不同层的不同时钟网络间满足偏移/旋转约束而不降低性能和功率。为了应对这个问题,需要芯片级的异步操作,而其在包括功耗、速度和面积占用的各种参数上具有其自己的缺点。
因此,需要用于开发可缩放、低偏移、高速和高性能的时钟分布网络的系统和方法。还需要用于在3D IC内开发可缩放、低偏移、高速和高性能的时钟分布网络的系统和方法。
发明内容
本发明的示例性实施例涉及用于设计用于集成电路的时钟分布网络的系统和方法。实施例识别时钟偏移的关键来源,严格控制时钟的时序并将该时序构建到总体时钟分布网络和集成电路设计中。所公开的实施例将时钟分布网络(CDN),即时钟发生电路、接线、缓冲和寄存器,与逻辑的其余部分分离,以改进时钟树设计并减小面积占用。在一个实施例中,CDN被分离到3D集成电路的单独的层,并且CDN经由高密度层间通孔而连接到(多个)逻辑层。实施例对于具有单片式3D集成电路的实施方式尤其有利。
所公开的实施例包括开发用于集成电路的时钟分布网络的方法,步骤包括:捕捉时钟偏移的来源,所述来源包括时钟汇点之间的时序失配;合成集成电路和时钟偏移的所述来源的较高级行为描述,以产生包括时钟分布网络和组合逻辑的2D布局;将所述时钟分布网络与所述组合逻辑分离,并且将所述时钟分布网络设置在集成电路的第一区域;以及对所述第一区域的所述组合逻辑进行布图规划。在另一实施例中,集成电路包括多层电路;所述第一区域包括所述多层电路的第一层;并且所述组合逻辑位于所述多层电路的第二层。
所公开的实施例还包括集成电路的时钟分布网络,其包括:被分离到所述集成电路的第一区域的时钟分布网络;被分离到所述集成电路的第二区域的所述集成电路的组合逻辑;以及将所述第一区域连接到所述第二区域的通孔。在另一实施例中,集成电路还包括:包括多层电路的集成电路;所述第一区域包括所述多层电路的第一层;并且所述第二区域包括所述多层电路的第二层。
附图说明
给出附图以辅助描述所公开的实施例,并且附图仅是出于说明实施例而非限制实施例的目的而提供的。
图1是示出所公开的实施例的方法的高级流程图;
图2是图1流程图的更详细的示例;
图3是所公开的实施例的2D时序弧和3D时序弧的示例;
图4是图3的时钟分布网络的更详细的示例;以及
图5是图4的时钟分布网络的另一个更详细的示例。
具体实施方式
在以下描述和涉及本发明的具体实施例的相关附图中公开了本发明的各方面。可以在不脱离本发明的范围的情况下构思替代的实施例。另外,本发明的公知元件将不会被详细描述或将被省略,以避免使本发明的相关细节难以理解。
本文使用词语“示例性”来表示“用作示例、实例或例证”。本文被描述为“示例性”的任何实施例不一定被解释为相对于其它实施例是优选或有利的。同样地,术语“本发明的实施例”不需要本发明的所有实施例都包括所论述的特征、优点或操作模式。
本文使用的术语仅仅是出于描述特定实施例的目的,并且并不是要限制本发明的实施例。如本文所用,除非上下文另外明确指示,否则单数形式“一”和“该”旨在也包括复数形式。要进一步理解的是,在本文中使用时,术语“包括”、“包含”指定所论述的特征、整数、步骤、操作、元件和/或部件的存在,但不排除存在或增加一个或多个其它特征、整数、步骤、操作、元件、部件和/或其组。
此外,根据要由例如计算设备的元件执行的动作的顺序来描述许多实施例。将要认识到,可以由特定电路(例如,特殊应用集成电路(ASIC))、由被一个或多个处理器执行的程序指令、或由两者的组合来执行本文描述的各种动作。另外,本文描述的动作的顺序可以被认为完全体现于任何形式的计算机可读存储介质内,该存储介质中存储了对应的计算机指令集,该指令集在被执行时会使相关联的处理器执行本文描述的功能。因此,本发明的各方面可以以许多不同形式来体现,所有形式都被认为是处于所要求保护的主题的范围内。另外,对于本文中描述的实施例中的每一个,例如,任何这种实施例的对应形式在本文中可以被描述为“被配置为”执行所述动作的“逻辑”。
图1是示出所公开的实施例的设计技术100的高级方框图。设计技术100开发了严格控制时钟的时序并将该时序构建到总体IC设计中的时钟分布网络(CDN)。IC可以包括数字部件、模拟部件或两者的组合。在本公开内容中的各处对“逻辑”电路的引用旨在涵盖数字电路部件、模拟电路部件及两者的组合。设计技术100在步骤102执行合成操作,其采用较高级的行为描述,并将其合成到执行所描述的操作的复杂逻辑电路中。可以将较高级的行为描述实施为能够映射到门函数库的寄存器传输级(RTL)描述。RTL描述描述了电路的寄存器和寄存器之间的传输序列。在步骤102执行的合成捕捉了时钟分布网络时序信息。
步骤104将CDN(包括时钟发生电路、接线、缓冲和寄存器)与步骤102处开发的其余组合逻辑分离。由于CDN与组合逻辑的其余部分分离,所以单独的CDN覆盖较小的面积。较小的CDN产生较小的缓冲、较少的接线和减小的功率。分离CDN降低了组合逻辑的复杂性,以实现较好的可布线性、减小的线路长度、提高的性能以及减小的功耗。步骤106将布图规划技术应用于单独的组合逻辑。如本公开内容随后所更详细描述的,布图规划技术可以是2D或3D的。在步骤108,通孔被绘制以将单独的CDN的时钟汇点连接到单独的组合逻辑的对应逻辑。通孔优选是能够在单个半导体晶片上的各层中以高密度制造的类型。步骤110将其它优化技术应用于被分离且经布图规划的CDN,直到已经实现了期望的总体性能参数。
图2是设计技术200的流程图,设计技术200是图1中所示的设计技术100的更详细的实施方式。可以有利地将设计技术200应用于3D IC。针对功能块执行步骤202到210,并且在3D IC的块级上执行步骤212到218。步骤202处执行的合成操作实质上与步骤102处执行的合成操作相同。步骤204将时钟汇点移动到单独的CDN区域并利用端口替代合成电路的时钟汇点,以提供时钟汇点在每个功能块内部的实际位置的指示。根据设计技术200的单独的CDN区域优选是专用于CDN(时钟发生电路、接线、缓冲、寄存器等)的3D IC的单独的层。步骤206为CDN层与组合逻辑之间的通孔连接确定放置阻塞部位。通孔连接时钟汇点在合成电路中所处的位置。因为通孔是高密度的,所以用于特定时钟汇点的通孔的数量可以对应于来自时钟汇点的输出的数量。例如,在时钟汇点是触发器并且通孔是层间通孔的情况下,可以为特定触发器分配k个层间通孔,其中k代表至触发器输出的连接的数量。步骤206优选地以网状方式插入阻塞,以提高时钟汇点在整个块中的可到达性。步骤208在逻辑层上放置组合逻辑,并且步骤210将时钟汇点中的每一个映射到其相关联的阻塞部位。
在3D IC的块级上执行步骤212到218。步骤212将布图规划技术应用于逻辑层。由于所公开的实施例是可缩放的,所以通过增加更多的CDN层来容纳越来越大的IC和CDN。因此,步骤212的布图规划技术可以是2D(单CDN层)或3D(多CDN层)。步骤214到218将其它优化技术应用于被分离且经布图规划的逻辑和CDN,直到已经实现了期望的总体性能参数。步骤214将时钟树合成应用于经布图规划的CDN层。时钟树合成包括时钟缓冲插入,并且利用与来自步骤206的阻塞部位的放置有关的信息。步骤216执行块级布线,并且步骤218执行后布线优化。可以使用常规的2D后布线优化引擎来实现步骤218。
因此,上述设计技术提供了许多益处。多层设计中的至少一层主要专用于容纳CDN,因此不必为每层设计时钟树,从而降低了设计复杂性。而且,根据所公开的实施例的设计方法,存在更少的金属层,与已知的3D集成电路技术相比,这节省了成本。较小的CDN占用面积导致较少的时钟功率(例如,大约30%的功率降低),这实现了较少的接线和较少的缓冲。从所公开的实施例的方法获得的时钟树设计更精炼,因为所有的时钟汇点和CDN(包括时钟缓冲器)都在一层上。分离的CDN对于工艺偏差明显更鲁棒(由于占用面积较小,甚至比2D更鲁棒)。由于逻辑层上的逻辑复杂性较小,因而减小了线路长度,实现了改进的性能。改进的时钟树设计实现了改进的时序收敛。设计复杂性降低是因为:(i)可以将现有的2D时序优化引擎用于没有针对时序优化的3D网络的实施例,(ii)扫描链布线得以简化,因为不必通过逻辑层。在现有顺序(非存储器)与组合单元相比占据大约一半设计区域的情况下,层间容易得到平衡。
图3-5示出了通过实施图1和2所示的设计技术可以得到的电路布局的一般构造。图3示出了2D时序弧10和3D时序弧30的示例。图3-5是一般构造,其宽泛地代表某些类型的电路/元件(例如,时钟发生、接线、组合逻辑、时钟汇点),但并非要传达特定的电路示例。时序弧10包括时钟发生模块(CGM)14、时钟汇点(CS)16、18、接线11和组合逻辑20,全部都是2D形式并且散布于整个单层12中。在实践中,可以将CGM 14实施为锁相环电路,并且可以将时钟汇点16、18实施为触发器电路。通常,时序弧10、30准确地捕捉时钟偏移的关键来源,例如包括从所谓的启动时钟汇点16到所谓的捕捉时钟汇点18的设计和/或延迟失配。因此,时钟时序要求受到严格控制并且被构造到总体IC设计中。
时序弧30示出了分离到多层IC 31的单层34的CDN(CGM 14、CS 16、18、19和接线11)。时序严格的组合逻辑20是在分离CDN之后放置的。在CDN不占据整个层的限度内,可以在同一CDN层上放置时序严格的组合逻辑20的一些或全部。因此,如果在放置CDN之后仍有空间,可以将时序严格的组合逻辑20放置于CDN层34上,或者将其放置于与CDN层34相邻的层32或36上。如果在放置CDN和任何时序严格的组合逻辑20之后仍有空间,则还可以将非时序严格的组合逻辑40放置于CDN层34上,或者放置在任何其它层32、36、38、39上,无论该层是否与CDN层相邻。高密度通孔13被构建到多层电路31中并且将CS 16、18、19连接到时序严格的组合逻辑20。
时序弧30还示出了所公开的实施例的可缩放性。对于越来越大的IC而言,多层电路31是容易缩放的,只要将CDN分离到容纳CDN的尺寸所需的数量的层。在图3中所示的示例中,CDN(16,18,19,14,11)在单层34上,但如果CDN尺寸增大,其可以扩展到更多CDN层(未示出)。类似地,随着时序严格的组合逻辑20的尺寸增大,可以添加附加层以容纳较大的时序严格的逻辑,只要附加层是CDN层或与CDN层相邻。最后,随着非时序严格的组合逻辑40的尺寸增大,可以添加附加层以容纳较大的非时序严格的组合逻辑。
图4是通过实施图1和2中所示的设计技术能够得到的电路布局的一般构造的另一图示。类似于图3的时序弧,图4示出了具有CDN和对应的逻辑的2D布局以及2D布局的多层实施方式的示例。图4是一般构造,其宽泛地代表某些类型的电路/元件(例如,时钟发生、接线、组合逻辑、时钟汇点),但并非要传达特定的电路示例。2D布局10a包括时钟发生模块(CGM)15、时钟汇点(CS)16、接线11和组合逻辑20,全部都是2D形式并且散布于整个单层12中。在实践中,可以将CGM 15实施为锁相环电路,并且可以将时钟汇点16实施为触发器电路。为简单起见以H树的格式示出了接线11,但其可以被实施成多种不同的时钟树格式(例如,栅格、棘状等)。通常,2D布局10准确地捕捉时钟偏移的关键来源,例如包括时钟汇点16之间的设计和/或延迟失配。由此,时钟时序要求受到严格控制并且被构建到总体IC设计中。
图4进一步示出了被分离到多层IC 31a的单层34的CDN(时钟缓冲器15、CS 16和接线11)。时序严格的组合逻辑20是在分离CDN之后放置的。在CDN不占据整个层的限度内,可以在同一CDN层上放置时序严格的组合逻辑20的一些或全部。因此,如果在放置CDN之后仍有空间,则可以将时序严格的组合逻辑20放置于CDN层34上,或者将其放置于与CDN层34相邻的任何层(例如,层36)上。如果在放置CDN和任何时序严格的组合逻辑20之后仍有空间,则还可以将非时序严格的组合逻辑40(图3中所示)放置于CDN层34上,或者将其放置于任何其它层32、36、38(图3中所示)上,无论该层是否与CDN层相邻。高密度通孔13被构建到多层电路31中并且将CS 16连接到时序严格的组合逻辑20。
图5是图3和4所示的多层电路31a的更详细示例。图5的多层电路被示出为单片式3D IC 33,其至少具有CDN层34和逻辑层36。图5的时钟汇点被实施为触发器(FF)电路17。图3和4的高密度通孔13被实施并在图5中被示出为单片式层间通孔(MIV)15。在单片式3D IC中,电子部件及其连接(接线)构建在单个半导体晶片上的层中,该单个半导体晶片然后被切割成3D IC。仅存在一个衬底,因此不需要对准或穿硅通孔。
因此,上述实施例将时钟分布网络与逻辑的其余部分分离。优选地,使用单片式3D集成技术来实施时钟分布网络。因此,单个层将主持时钟子系统,并且(多个)其它层将容纳其余的组合逻辑。根据本公开内容的时钟层将包括时钟发生电路(即,PLL或DLL)、时钟分布接线(H树、栅格、棘状等)和设计的时序元件中的大多数(例如,75%)。时钟层将包含最宽的金属线,以实现更好的时钟分布控制。由于时钟分布与逻辑的其余部分分离,因而时钟分布网络包括较小的占用面积。较小的时钟网络导致较小的缓冲器、较少的接线和减小的功率。将时钟分布分离降低了逻辑层的复杂性,以实现更好的可布线性、减少的线路长度、提高的性能和减小的功耗。由单片式3D集成提供的高密度层间通孔用于将时钟层上的时钟汇点连接到逻辑层上的对应逻辑。
尽管以上公开内容和图示示出了本发明的实施例,但应当注意,可以在不脱离由所附权利要求限定的本发明的范围的情况下对本文做出各种改变和修改。例如,不必按照任何特定次序来执行根据本文描述的发明的实施例的方法权利要求的功能、步骤和/或动作。此外,尽管可以采用单数形式来描述或要求保护本发明的元件,但是除非明确指出限制为单数,否则也考虑了复数形式。
相关领域的技术人员还将领会,可以将结合本文中所公开的实施例所描述的各种说明性的逻辑块、装置、电路和算法步骤实施为电子硬件、计算机软件、或两者的组合。为了清楚地示出硬件与软件的该互换性,上文总体上在其功能方面对各种说明性部件、方框、装置、电路和步骤进行了描述。这种功能被实施为硬件或软件取决于特定应用和施加在整体系统上的设计约束。对于每个特定应用,技术人员可以采用不同方式来实施所描述的功能,但是这种实施方式决策不应该被理解为脱离本发明的范围。
结合本文中公开的实施例所描述的方法、序列和/或算法可以直接体现在硬件、由处理器执行的软件装置、或两者的组合中。软件装置可以存在于RAM存储器、闪速存储器、ROM存储器、EPROM存储器、EEPROM存储器、寄存器、硬盘、可移除磁盘、CD-ROM、或本领域中已知的任何其它形式的存储介质中。示例性存储介质耦合到处理器,以使处理器能够从存储介质读取信息并向其写入信息。在替代方案中,存储介质可以与处理器是一体的。因此,本发明的实施例可以包括体现用于执行所公开并要求保护的实施例的方法的计算机可读介质。因此,本发明不限于所示示例,并且用于执行本文所述功能的任何装置都包括在本发明的实施例中。

Claims (25)

1.一种开发用于集成电路的时钟分布网络的方法(100),步骤包括:
捕捉时钟偏移的来源,所述来源包括时钟汇点之间的时序失配;
合成(102)集成电路与所述时钟偏移的来源的高级行为描述,以产生包括时钟分布网络和组合逻辑的2D布局;
将所述时钟分布网络与所述组合逻辑分离(104),并且将所述时钟分布网络设置在所述集成电路的第一区域;以及
对所述第一区域的所述组合逻辑进行布图规划(106)。
2.根据权利要求1所述的方法,还包括将进一步优化应用(110)于所述第一区域的所述时钟分布网络的步骤。
3.根据权利要求2所述的方法,其中,所述进一步优化包括时钟树合成(214)。
4.根据权利要求3所述的方法,其中,所述进一步优化包括块级布线(216)。
5.根据权利要求4所述的方法,其中,所述进一步优化包括后布线优化(218)。
6.根据权利要求2所述的方法,其中:
所述集成电路包括多层电路(31);
所述第一区域包括所述多层电路的第一层(34);并且
所述组合逻辑位于所述多层电路的第二层(36)。
7.根据权利要求6所述的方法,其中,所述第一层与所述第二层相邻。
8.根据权利要求6所述的方法,其中,从所述时钟分布网络到所述组合逻辑的连接包括通孔(13)。
9.根据权利要求8所述的方法,其中,所述通孔包括层间通孔。
10.根据权利要求9所述的方法,其中,所述层间通孔包括高密度。
11.根据权利要求6所述的方法,其中:
所述组合逻辑还包括非时序严格的组合逻辑(40);并且
所述非时序严格的组合逻辑位于所述多层电路的第三层(38)。
12.根据权利要求11所述的方法,其中,所述第三层与所述第一层不相邻。
13.根据权利要求6所述的方法,其中:
所述组合逻辑还位于所述多层电路的第四层(32);并且
所述第四层与所述第一层相邻。
14.根据权利要求13所述的方法,其中:
所述组合逻辑包括其它非时序严格的组合逻辑(40);并且
所述其它非时序严格的组合逻辑位于所述多层电路的第五层(39)。
15.根据权利要求14所述的方法,其中,所述第五层与所述第一层不相邻。
16.一种集成电路(33)装置的时钟分布网络(34),包括:
被分离到所述集成电路的第一区域(34)的所述时钟分布网络;
被分离到所述集成电路的第二区域(36)的所述集成电路的组合逻辑(20);以及
将所述第一区域连接到所述第二区域的通孔(15)。
17.根据权利要求16所述的装置,其中:
所述集成电路包括多层电路(34,36);
所述第一区域包括所述多层电路的第一层(34);并且
所述第二区域包括所述多层电路的第二层(36)。
18.根据权利要求17所述的装置,其中,所述第一层与所述第二层相邻。
19.根据权利要求16所述的装置,其中,所述通孔包括层间通孔(15)。
20.根据权利要求19所述的装置,其中,所述层间通孔包括高密度。
21.根据权利要求17所述的装置,其中:
所述集成电路还包括非时序严格的组合逻辑(40);所述非时序严格的组合逻辑被分离到所述集成电路的第三区域(38);并且
所述第三区域包括所述多层电路的第三层。
22.根据权利要求21所述的装置,其中,所述第三层与所述第一层不相邻。
23.根据权利要求17所述的装置,其中:
所述组合逻辑还位于所述多层电路的第四层(32);并且
所述第四层与所述第一层相邻。
24.根据权利要求23所述的装置,其中:
所述集成电路还包括非时序严格的组合逻辑(40);并且
所述非时序严格的组合逻辑位于所述多层电路的第五层(39)。
25.根据权利要求24所述的装置,其中,所述第五层与所述第一层不相邻。
CN201380071377.XA 2012-11-28 2013-11-27 用于3d集成电路的时钟分布网络 Expired - Fee Related CN104937596B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201261730755P 2012-11-28 2012-11-28
US201261730767P 2012-11-28 2012-11-28
US61/730,755 2012-11-28
US61/730,767 2012-11-28
US13/792,486 2013-03-11
US13/792,592 US8984463B2 (en) 2012-11-28 2013-03-11 Data transfer across power domains
US13/792,592 2013-03-11
US13/792,486 US9098666B2 (en) 2012-11-28 2013-03-11 Clock distribution network for 3D integrated circuit
PCT/US2013/072380 WO2014085689A1 (en) 2012-11-28 2013-11-27 Clock distribution network for 3d integrated circuit

Publications (2)

Publication Number Publication Date
CN104937596A true CN104937596A (zh) 2015-09-23
CN104937596B CN104937596B (zh) 2016-08-24

Family

ID=50772543

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380068496.XA Active CN104885085B (zh) 2012-11-28 2013-11-27 跨电源域的数据传输
CN201380071377.XA Expired - Fee Related CN104937596B (zh) 2012-11-28 2013-11-27 用于3d集成电路的时钟分布网络

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201380068496.XA Active CN104885085B (zh) 2012-11-28 2013-11-27 跨电源域的数据传输

Country Status (6)

Country Link
US (2) US8984463B2 (zh)
EP (2) EP2926280A1 (zh)
JP (4) JP5944590B2 (zh)
KR (2) KR101612795B1 (zh)
CN (2) CN104885085B (zh)
WO (2) WO2014085689A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106960087A (zh) * 2017-03-13 2017-07-18 上海华力微电子有限公司 一种时钟分布网络结构及其生成方法
CN112084733A (zh) * 2020-08-14 2020-12-15 深圳天狼芯半导体有限公司 芯片的时钟树布图方法及装置
CN114239475A (zh) * 2021-12-17 2022-03-25 郑州信大华芯信息科技有限公司 低频数模混合模块时钟结构及扫描链设计方法

Families Citing this family (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US9385088B2 (en) 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8832478B2 (en) * 2011-10-27 2014-09-09 Intel Corporation Enabling a non-core domain to control memory bandwidth in a processor
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US8984463B2 (en) * 2012-11-28 2015-03-17 Qualcomm Incorporated Data transfer across power domains
US9064077B2 (en) * 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US9013235B2 (en) * 2013-07-16 2015-04-21 Qualcomm Incorporated Monolithic three dimensional (3D) flip-flops with minimal clock skew and related systems and methods
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
JP6328974B2 (ja) 2014-03-28 2018-05-23 株式会社メガチップス 半導体装置及び半導体装置の設計手法
US9256246B1 (en) * 2015-01-29 2016-02-09 Qualcomm Incorporated Clock skew compensation with adaptive body biasing in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9483598B2 (en) * 2015-02-09 2016-11-01 Qualcomm Incorporated Intellectual property block design with folded blocks and duplicated pins for 3D integrated circuits
US9537471B2 (en) * 2015-02-09 2017-01-03 Qualcomm Incorporated Three dimensional logic circuit
US9508615B2 (en) 2015-02-09 2016-11-29 Qualcomm Incorporated Clock tree synthesis for low cost pre-bond testing of 3D integrated circuits
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
GB2540741B (en) * 2015-07-14 2018-05-09 Advanced Risc Mach Ltd Clock signal distribution and signal value storage
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10033386B2 (en) 2015-09-01 2018-07-24 Samsung Electronics Co., Ltd. Semiconductor circuits
US9722611B2 (en) 2015-09-01 2017-08-01 Samsung Electronics Co., Ltd. Semiconductor circuits
KR102386907B1 (ko) 2015-09-10 2022-04-14 삼성전자주식회사 반도체 집적 회로
US9824174B2 (en) 2015-09-11 2017-11-21 Qualcomm Incorporated Power-density-based clock cell spacing
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
DE102015218959A1 (de) * 2015-09-30 2017-03-30 Zf Friedrichshafen Ag Diagnose eines Steuergeräts
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US9960769B2 (en) * 2015-12-17 2018-05-01 Nxp B.V. Power-domain optimization
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10318694B2 (en) * 2016-11-18 2019-06-11 Qualcomm Incorporated Adaptive multi-tier power distribution grids for integrated circuits
US10141045B2 (en) * 2016-12-15 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Dual rail device with power detector for controlling power to first and second power domains
US9929733B1 (en) 2017-02-21 2018-03-27 Qualcomm Incorporated Connection propagation for inter-logical block connections in integrated circuits
US10256796B2 (en) 2017-03-03 2019-04-09 Qualcomm Incorporated Master-slave level shifter array architecture with pre-defined power-up states
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10796068B2 (en) * 2018-09-11 2020-10-06 Samsung Electronics Co., Ltd. Standard cell design system, standard cell design optimization method thereof, and semiconductor design system
CN109818492B (zh) * 2019-01-28 2021-01-22 上海华虹宏力半导体制造有限公司 一种可降低干扰的二级电源产生电路
CN109960851B (zh) * 2019-02-22 2023-04-28 南方电网科学研究院有限责任公司 一种基于不同电压域的数据传输方法及握手协议电路
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11719738B2 (en) 2020-10-15 2023-08-08 Samsung Display Co., Ltd. Two-domain two-stage sensing front-end circuits and systems
US11455454B2 (en) * 2020-11-24 2022-09-27 Arm Limited Methods and apparatuses for concurrent coupling of inter-tier connections
CN115224936A (zh) * 2021-04-15 2022-10-21 瑞昱半导体股份有限公司 具有自适应机制的电压转换电路
US20220343045A1 (en) * 2021-04-21 2022-10-27 Arm Limited Multi-Dimensional Network Interface
US20230282251A1 (en) * 2022-03-02 2023-09-07 Samsung Electronics Co., Ltd. Methods and systems for managing read operation of memory device with single ended read path

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201956999U (zh) * 2010-10-15 2011-08-31 北京工业大学 3d多米诺集成电路时钟网络
CN102315197A (zh) * 2010-07-09 2012-01-11 中国科学院微电子研究所 3d集成电路结构以及检测芯片结构是否对齐的方法
US20120036489A1 (en) * 2008-06-18 2012-02-09 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Design and verification of 3d integrated circuits

Family Cites Families (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3593348B2 (ja) 1992-12-29 2004-11-24 富士通株式会社 集積回路
JPH07176688A (ja) 1993-12-20 1995-07-14 Mitsubishi Electric Corp 半導体集積回路
US5495419A (en) 1994-04-19 1996-02-27 Lsi Logic Corporation Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing
JPH08129576A (ja) * 1994-11-01 1996-05-21 Matsushita Electric Ind Co Ltd 半導体装置のマスクレイアウト設計方法
US5724557A (en) 1995-07-10 1998-03-03 Motorola, Inc. Method for designing a signal distribution network
US5760478A (en) 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
US6374200B1 (en) 1997-02-03 2002-04-16 Fujitsu Limited Layout apparatus for laying out objects in space and method thereof
JP3577404B2 (ja) * 1997-08-27 2004-10-13 株式会社東芝 電圧レベル変換機能付ラッチ回路及びフリップフロップ回路
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US6686623B2 (en) 1997-11-18 2004-02-03 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
US6295636B1 (en) * 1998-02-20 2001-09-25 Lsi Logic Corporation RTL analysis for improved logic synthesis
JP4085459B2 (ja) 1998-03-02 2008-05-14 セイコーエプソン株式会社 3次元デバイスの製造方法
US6022770A (en) * 1998-03-24 2000-02-08 International Business Machines Corporation NVRAM utilizing high voltage TFT device and method for making the same
US6260182B1 (en) 1998-03-27 2001-07-10 Xilinx, Inc. Method for specifying routing in a logic module by direct module communication
US6305001B1 (en) 1998-06-18 2001-10-16 Lsi Logic Corporation Clock distribution network planning and method therefor
US6125217A (en) 1998-06-26 2000-09-26 Intel Corporation Clock distribution network
US6483736B2 (en) 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
FR2797713B1 (fr) 1999-08-20 2002-08-02 Soitec Silicon On Insulator Procede de traitement de substrats pour la microelectronique et substrats obtenus par ce procede
JP2001160612A (ja) 1999-12-01 2001-06-12 Takehide Shirato 半導体装置及びその製造方法
US7483329B2 (en) 2000-01-06 2009-01-27 Super Talent Electronics, Inc. Flash card and controller with integrated voltage converter for attachment to a bus that can operate at either of two power-supply voltages
KR100549258B1 (ko) 2000-06-02 2006-02-03 주식회사 실트론 에스오아이 웨이퍼 제조 방법
US6834380B2 (en) 2000-08-03 2004-12-21 Qualcomm, Incorporated Automated EMC-driven layout and floor planning of electronic devices and systems
US6627985B2 (en) 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US6754877B1 (en) 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6730540B2 (en) 2002-04-18 2004-05-04 Tru-Si Technologies, Inc. Clock distribution networks and conductive lines in semiconductor integrated circuits
JP4131119B2 (ja) * 2002-04-19 2008-08-13 富士通株式会社 半導体集積回路設計方法及び半導体集積回路設計プログラム
DE10226915A1 (de) 2002-06-17 2004-01-08 Infineon Technologies Ag Verfahren zum Verändern von Entwurfsdaten für die Herstellung eines Bauteils sowie zugehörige Einheiten
US6979630B2 (en) 2002-08-08 2005-12-27 Isonics Corporation Method and apparatus for transferring a thin layer of semiconductor material
US7209378B2 (en) 2002-08-08 2007-04-24 Micron Technology, Inc. Columnar 1T-N memory cell structure
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US7042756B2 (en) 2002-10-18 2006-05-09 Viciciv Technology Configurable storage device
US6965527B2 (en) 2002-11-27 2005-11-15 Matrix Semiconductor, Inc Multibank memory on a die
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
JP4554152B2 (ja) 2002-12-19 2010-09-29 株式会社半導体エネルギー研究所 半導体チップの作製方法
US6727530B1 (en) 2003-03-04 2004-04-27 Xindium Technologies, Inc. Integrated photodetector and heterojunction bipolar transistors
US6911375B2 (en) 2003-06-02 2005-06-28 International Business Machines Corporation Method of fabricating silicon devices on sapphire with wafer bonding at low temperature
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7107200B1 (en) * 2003-10-03 2006-09-12 Sun Microsystems, Inc. Method and apparatus for predicting clock skew for incomplete integrated circuit design
JP2005252048A (ja) * 2004-03-05 2005-09-15 Nec Electronics Corp 半導体集積回路装置及びその製造方法
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7546571B2 (en) 2004-09-08 2009-06-09 Mentor Graphics Corporation Distributed electronic design automation environment
US20060190889A1 (en) 2005-01-14 2006-08-24 Cong Jingsheng J Circuit floorplanning and placement by look-ahead enabled recursive partitioning
US7218549B2 (en) * 2005-01-31 2007-05-15 Kabushiki Kaisha Toshiba Memory cell with stability switch for stable read operation and improved write operation
WO2006135780A1 (en) 2005-06-10 2006-12-21 The Regents Of The University Of California Fast dual-vdd buffer insertion and buffered tree construction for power minimization
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
US7280397B2 (en) 2005-07-11 2007-10-09 Sandisk 3D Llc Three-dimensional non-volatile SRAM incorporating thin-film device layer
DE102005039365B4 (de) 2005-08-19 2022-02-10 Infineon Technologies Ag Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis
US7663620B2 (en) 2005-12-05 2010-02-16 Microsoft Corporation Accessing 2D graphic content using axonometric layer views
US20070244676A1 (en) 2006-03-03 2007-10-18 Li Shang Adaptive analysis methods
US7579654B2 (en) 2006-05-31 2009-08-25 Corning Incorporated Semiconductor on insulator structure made using radiation annealing
KR20080038535A (ko) 2006-10-30 2008-05-07 삼성전자주식회사 스택형 반도체 장치의 제조 방법
CN101548286B (zh) * 2006-12-25 2012-10-10 株式会社半导体能源研究所 半导体装置
US7859117B2 (en) 2007-02-27 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Clocking architecture in stacked and bonded dice
JP4957297B2 (ja) * 2007-03-06 2012-06-20 セイコーエプソン株式会社 半導体装置の製造方法
US7669152B1 (en) 2007-03-13 2010-02-23 Silicon Frontline Technology Inc. Three-dimensional hierarchical coupling extraction
US7739642B2 (en) 2007-05-02 2010-06-15 Cadence Design Systems, Inc. Optimizing integrated circuit design through balanced combinational slack plus sequential slack
US7624364B2 (en) * 2007-05-02 2009-11-24 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
US8513791B2 (en) 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US20080291767A1 (en) 2007-05-21 2008-11-27 International Business Machines Corporation Multiple wafer level multiple port register file cell
US7796092B2 (en) 2007-05-24 2010-09-14 The Boeing Company Broadband composite dipole antenna arrays for optical wave mixing
US7459716B2 (en) 2007-06-11 2008-12-02 Kabushiki Kaisha Toshiba Resistance change memory device
US8046727B2 (en) 2007-09-12 2011-10-25 Neal Solomon IP cores in reconfigurable three dimensional integrated circuits
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US8059443B2 (en) 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
US7710796B2 (en) * 2007-11-06 2010-05-04 International Business Machines Corporation Level shifter for boosting wordline voltage and memory cell performance
JP2009164480A (ja) 2008-01-09 2009-07-23 Toshiba Corp 抵抗変化メモリ装置
US7622955B2 (en) 2008-04-17 2009-11-24 Texas Instruments Incorporated Power savings with a level-shifting boundary isolation flip-flop (LSIFF) and a clock controlled data retention scheme
US8218377B2 (en) 2008-05-19 2012-07-10 Stmicroelectronics Pvt. Ltd. Fail-safe high speed level shifter for wide supply voltage range
US8716805B2 (en) 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US8006212B2 (en) 2008-07-30 2011-08-23 Synopsys, Inc. Method and system for facilitating floorplanning for 3D IC
EP2161755A1 (en) 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
WO2010062644A2 (en) 2008-10-28 2010-06-03 The Regents Of The University Of California Vertical group iii-v nanowires on si, heterostructures, flexible arrays and fabrication
WO2010055462A1 (en) 2008-11-13 2010-05-20 Nxp B.V. Testable integrated circuit and test method therefor
US20100140790A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Chip having thermal vias and spreaders of cvd diamond
US8146032B2 (en) 2009-01-30 2012-03-27 Synopsys, Inc. Method and apparatus for performing RLC modeling and extraction for three-dimensional integrated circuit (3D-IC) designs
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
JP5617835B2 (ja) 2009-02-24 2014-11-05 日本電気株式会社 半導体装置およびその製造方法
US8214790B2 (en) * 2009-03-04 2012-07-03 Oracle America Low RC global clock distribution
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8115511B2 (en) 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8341501B2 (en) * 2009-04-30 2012-12-25 International Business Machines Corporation Adaptive endurance coding of non-volatile memories
WO2010134019A2 (en) 2009-05-19 2010-11-25 Ramot At Tel Aviv University Ltd. Vertical junction pv cells
US8422273B2 (en) 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
ES2558341T3 (es) * 2009-08-26 2016-02-03 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Circuito de derivación y protección para un módulo solar y procedimiento para el control de un módulo solar
US7955940B2 (en) 2009-09-01 2011-06-07 International Business Machines Corporation Silicon-on-insulator substrate with built-in substrate junction
US8426309B2 (en) 2009-09-10 2013-04-23 Lockheed Martin Corporation Graphene nanoelectric device fabrication
EP2483777A4 (en) 2009-09-30 2016-05-11 Altera Corp ENHANCED EXCHANGE OF WAVEFORM DATA BETWEEN MULTIPLE PROCESSORS USING COMPRESSION AND DECOMPRESSION
US8164089B2 (en) 2009-10-08 2012-04-24 Xerox Corporation Electronic device
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8247895B2 (en) 2010-01-08 2012-08-21 International Business Machines Corporation 4D device process and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
WO2011101463A1 (en) 2010-02-19 2011-08-25 University College Cork - National University Of Ireland, Cork A transistor device
US8450779B2 (en) 2010-03-08 2013-05-28 International Business Machines Corporation Graphene based three-dimensional integrated circuit device
US8315084B2 (en) 2010-03-10 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fully balanced dual-port memory cell
US8698140B2 (en) 2010-03-15 2014-04-15 Hitachi, Ltd. Semiconductor device, and test method for same
US20110272788A1 (en) 2010-05-10 2011-11-10 International Business Machines Corporation Computer system wafer integrating different dies in stacked master-slave structures
US8395942B2 (en) 2010-05-17 2013-03-12 Sandisk Technologies Inc. Junctionless TFT NAND flash memory
CN101907881B (zh) * 2010-06-04 2012-05-23 西安电子科技大学 可编程式数字脉冲发生器
US8332803B1 (en) 2010-06-28 2012-12-11 Xilinx, Inc. Method and apparatus for integrated circuit package thermo-mechanical reliability analysis
US7969193B1 (en) 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
JP5395009B2 (ja) * 2010-07-30 2014-01-22 株式会社半導体理工学研究センター サブスレッショルドsramのための電源電圧制御回路及び制御方法
TWI562313B (en) 2010-09-06 2016-12-11 shu lu Chen Electrical switch using a recessed channel gated resistor structure and method for three dimensional integration of semiconductor device
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US8775998B2 (en) 2010-12-09 2014-07-08 Panasonic Corporation Support device of three-dimensional integrated circuit and method thereof
US8691179B2 (en) 2011-01-04 2014-04-08 Korea Institute Of Science And Technology Method for fabricating graphene sheets or graphene particles using supercritical fluid
US8409957B2 (en) 2011-01-19 2013-04-02 International Business Machines Corporation Graphene devices and silicon field effect transistors in 3D hybrid integrated circuits
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
JP5684590B2 (ja) 2011-01-28 2015-03-11 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置
FR2972077B1 (fr) 2011-02-24 2013-08-30 Thales Sa Composant electronique, procede de fabrication et utilisation de graphene dans un composant electronique
WO2012119053A1 (en) 2011-03-02 2012-09-07 King Abdullah University Of Science And Technology Cylindrical-shaped nanotube field effect transistor
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
FR2973938A1 (fr) 2011-04-08 2012-10-12 Soitec Silicon On Insulator Procédés de formation de structures semi-conductrices collées, et structures semi-conductrices formées par ces procédés
US8685825B2 (en) 2011-07-27 2014-04-01 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
FR2978604B1 (fr) 2011-07-28 2018-09-14 Soitec Procede de guerison de defauts dans une couche semi-conductrice
FR2978605B1 (fr) 2011-07-28 2015-10-16 Soitec Silicon On Insulator Procede de fabrication d'une structure semi-conductrice comprenant une couche fonctionnalisee sur un substrat support
US8683416B1 (en) * 2011-07-28 2014-03-25 Juniper Networks, Inc. Integrated circuit optimization
US8576000B2 (en) * 2011-08-25 2013-11-05 International Business Machines Corporation 3D chip stack skew reduction with resonant clock and inductive coupling
US8803233B2 (en) 2011-09-23 2014-08-12 International Business Machines Corporation Junctionless transistor
TWI573198B (zh) 2011-09-27 2017-03-01 索泰克公司 在三度空間集積製程中轉移材料層之方法及其相關結構與元件
US8580624B2 (en) 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
TWI456739B (zh) 2011-12-13 2014-10-11 Nat Univ Tsing Hua 三維記憶體晶片之控制結構
US9236476B2 (en) 2011-12-28 2016-01-12 Intel Corporation Techniques and configuration for stacking transistors of an integrated circuit device
JP5456090B2 (ja) 2012-03-13 2014-03-26 株式会社東芝 半導体装置およびその製造方法
KR20130126036A (ko) 2012-05-10 2013-11-20 삼성전자주식회사 트랜지스터를 구비한 반도체 소자
US8737108B2 (en) 2012-09-25 2014-05-27 Intel Corporation 3D memory configurable for performance and power
US8701073B1 (en) 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US9490811B2 (en) 2012-10-04 2016-11-08 Efinix, Inc. Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing
US8984463B2 (en) * 2012-11-28 2015-03-17 Qualcomm Incorporated Data transfer across power domains
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US20140225218A1 (en) 2013-02-12 2014-08-14 Qualcomm Incorporated Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120036489A1 (en) * 2008-06-18 2012-02-09 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Design and verification of 3d integrated circuits
CN102315197A (zh) * 2010-07-09 2012-01-11 中国科学院微电子研究所 3d集成电路结构以及检测芯片结构是否对齐的方法
CN201956999U (zh) * 2010-10-15 2011-08-31 北京工业大学 3d多米诺集成电路时钟网络

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
VENKATESH ARUNACHALAM,ET AL.: "Low-Power Clock Distribution in a", 《PROCEEDINGS OF THE 18TH ACM GREAT LAKES SYMPOSIUM ON VLSI》, 1 January 2008 (2008-01-01) *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106960087A (zh) * 2017-03-13 2017-07-18 上海华力微电子有限公司 一种时钟分布网络结构及其生成方法
CN106960087B (zh) * 2017-03-13 2020-05-19 上海华力微电子有限公司 一种时钟分布网络结构及其生成方法
CN112084733A (zh) * 2020-08-14 2020-12-15 深圳天狼芯半导体有限公司 芯片的时钟树布图方法及装置
CN114239475A (zh) * 2021-12-17 2022-03-25 郑州信大华芯信息科技有限公司 低频数模混合模块时钟结构及扫描链设计方法
CN114239475B (zh) * 2021-12-17 2023-05-16 郑州信大华芯信息科技有限公司 低频数模混合模块时钟结构及扫描链设计方法

Also Published As

Publication number Publication date
KR101600947B1 (ko) 2016-03-08
EP2926279A2 (en) 2015-10-07
JP2019062208A (ja) 2019-04-18
WO2014085685A3 (en) 2014-12-24
JP6490612B2 (ja) 2019-03-27
US20140145347A1 (en) 2014-05-29
JP2016505931A (ja) 2016-02-25
WO2014085685A2 (en) 2014-06-05
JP2016158254A (ja) 2016-09-01
EP2926279B1 (en) 2018-06-13
KR20150082656A (ko) 2015-07-15
US20140146630A1 (en) 2014-05-29
CN104885085A (zh) 2015-09-02
JP2016506116A (ja) 2016-02-25
CN104885085B (zh) 2021-08-27
EP2926280A1 (en) 2015-10-07
WO2014085689A1 (en) 2014-06-05
US9098666B2 (en) 2015-08-04
JP5944590B2 (ja) 2016-07-05
CN104937596B (zh) 2016-08-24
KR101612795B1 (ko) 2016-04-15
US8984463B2 (en) 2015-03-17
KR20150090166A (ko) 2015-08-05

Similar Documents

Publication Publication Date Title
CN104937596A (zh) 用于3d集成电路的时钟分布网络
US9508615B2 (en) Clock tree synthesis for low cost pre-bond testing of 3D integrated circuits
Liu et al. A design tradeoff study with monolithic 3D integration
US7281233B1 (en) Method and apparatus for implementing a circuit design for integrated circuitry on a circuit board
Darve et al. Physical implementation of an asynchronous 3D-NoC router using serial vertical links
US10068048B1 (en) Generating clock trees for a circuit design
US20060080632A1 (en) Integrated circuit layout having rectilinear structure of objects
US9257986B2 (en) Rescaling
US20160110486A1 (en) Systems and methods for flexibly optimizing processing circuit efficiency
Hart et al. A 3.6 GHz 16-core SPARC SoC processor in 28 nm
Torres et al. Exploration of the synchronization constraint in quantum-dot cellular automata
Ludovici et al. Mesochronous NoC technology for power-efficient GALS MPSoCs
US20190251221A1 (en) Build synthesized soft arrays
Du Nguyen et al. Synthesizing HDL to memristor technology: A generic framework
Park et al. Synthesis of TSV fault-tolerant 3-D clock trees
US8788987B2 (en) Rescaling
US8225245B2 (en) Method of implementing physically realizable and power-efficient clock gating in microprocessor circuits
US9053773B2 (en) Method and apparatus for clock power saving in multiport latch arrays
JP2008091406A (ja) 半導体集積回路のレイアウト方法
Ewetz et al. A TSV-cross-link-based approach to 3D-clock network synthesis for improved robustness
JP2002176145A (ja) 半導体集積回路装置およびその設計方法
JP3851300B2 (ja) 半導体集積回路装置
Chakravarthi et al. Clock Tree Synthesis (CTS) in SoC Physical Design
Kim et al. An Effective Block Pin Assignment Approach for Block-Level Monolithic 3-D ICs
KR20110094767A (ko) 반도체 집적 회로 장치 및 그 설계 방법

Legal Events

Date Code Title Description
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160824