CN106960087A - 一种时钟分布网络结构及其生成方法 - Google Patents

一种时钟分布网络结构及其生成方法 Download PDF

Info

Publication number
CN106960087A
CN106960087A CN201710146090.9A CN201710146090A CN106960087A CN 106960087 A CN106960087 A CN 106960087A CN 201710146090 A CN201710146090 A CN 201710146090A CN 106960087 A CN106960087 A CN 106960087A
Authority
CN
China
Prior art keywords
clock
network structure
distributing network
local
circuit design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710146090.9A
Other languages
English (en)
Other versions
CN106960087B (zh
Inventor
张学渊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huali Microelectronics Corp
Original Assignee
Shanghai Huali Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huali Microelectronics Corp filed Critical Shanghai Huali Microelectronics Corp
Priority to CN201710146090.9A priority Critical patent/CN106960087B/zh
Publication of CN106960087A publication Critical patent/CN106960087A/zh
Priority to US15/659,577 priority patent/US10380288B2/en
Application granted granted Critical
Publication of CN106960087B publication Critical patent/CN106960087B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • G06F30/18Network design, e.g. design based on topological or interconnect aspects of utility systems, piping, heating ventilation air conditioning [HVAC] or cabling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • H03K19/0016Arrangements for reducing power consumption by using a control or a clock signal, e.g. in order to apply power supply
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种时钟分布网络结构及其生成方法,该时钟分布网络分布于多个局部时钟电路设计区域;多个局部时钟电路设计区域之间的时钟分布网络为树型时钟分布网络结构,从时钟分布网络时钟接入点为树干开始,在至少一个局部时钟电路设计区域的某一特定子区域,或是在至少一个时钟分布网络末端的局部时钟电路设计区域,采用网格型时钟网络结构设计;或者,在时钟分布网络关键路径上的一个或多个局部时钟电路设计区域采用网格型时钟网络结构设计。因此,本发明能最大限度地结合时钟树和时钟网格的在数字电路设计方面的优点,为电路设计提供最优的解决方法。

Description

一种时钟分布网络结构及其生成方法
技术领域
本发明属于数字电路设计技术领域,涉及一种时钟分布网络结构及其生成方法。
背景技术
在深亚微米时代,芯片设计中同步时钟的设计对芯片的性能和可靠性是非常关键的。在时钟分布网络中时钟信号到达每一个寄存器的时间应当相等。这就需要对所有的时钟信号进行时钟分布网络分配。时钟信号从外部的输入开始经过包含组合逻辑和互连线的时钟分布网络,到达时序逻辑。
目前,业界已经提出了很多种时钟树结构。可以归纳为:树干结构、网格结构和对称的H-树结构和平衡的缓冲器树结构等。在上述结构中,平衡的缓冲器树结构已经在数字电路中得到广泛的应用。
现有的时钟分布网络生成技术主要分为两类:
①、树型时钟分布网络结构
请参阅图1,图1为现有技术中树状结构的时钟分布网络结构示意图。从图1可以看出,时钟的分布网络呈现“树”状结构,时钟信号由树根向树枝传播扩散。树型时钟分布网络是最早使用的时钟生成技术。
它的优点是算法简单,布局布线资源消耗小,网络附带的功耗小;它的缺点是时序性能比较差,时序变化差异比较大,时序受工艺变化的影响大以及由此带来的设计前后期时序可预测性比较差。
②、网格型时钟分布网络结构
请参阅图2,图2为现有技术中网格型时钟分布网络示意图。从图2可以看出,时钟的网络呈现“网格”状结构,时序在整个网络上得到平衡。网格型时钟分布网络是比较新的时钟生成技术。
它的优点是时序差异变化比较小,时序变化受工艺差异的影响比较小,设计前后的可预测性比较好,性能比较稳定;它的缺点是:消耗比较多的布局布线资源,消耗比较多的功率。
发明内容
本发明的目的在于提供一种新颖的时钟分布网络结构及其生成方法,其最大限度地结合了树型时钟分布网络结构和网格型时钟分布网络结构的在数字电路设计方面的优点,为超大规模集成电路设计提供更加灵活的时钟分布网络解决方案。
为实现上述目的,本发明的技术方案如下:
一种时钟分布网络结构,所述时钟分布网络分布于多个局部时钟电路设计区域;所述多个局部时钟电路设计区域间的时钟分布网络为树型时钟分布网络结构,所述从时钟分布网络时钟接入点为树干开始,在至少一个局部时钟电路设计区域的某一特定子区域,或是在至少一个所述时钟分布网络末端的局部时钟电路设计区域,采用网格型时钟网络结构设计;或者,所述时钟分布网络在关键路径上的一个或多个局部时钟电路设计区域采用网格型时钟网络结构设计。
进一步地,所述局部时钟电路设计区域是否采用网格型时钟网络结构设计,是根据时钟网络实现算法的参数确定;所述参数决定于时钟节点在局部时钟电路设计区域所占的比例、时钟节点所连接的时序电路个数在所述局部时钟设计区域中所占的比例和/或局部时钟电路设计区域中时钟布线的总长度成倍数地大于局部时钟电路设计区域的周长。
进一步地,如果所述时钟节点在局部时钟电路设计区域所占的比例超过30%,所述局部时钟设计区域采用网格型时钟网络结构设计;否者,继续沿用树型时钟网络结构设计。
进一步地,如果所述时钟节点所连接的时序电路个数在所述局部时钟设计区域中所占的比例超过20%,所述局部时钟设计区域采用网格型时钟网络结构设计;否者,继续沿用树型时钟网络结构设计。
进一步地,如果局部时钟电路设计区域中时钟布线的总长度大于局部电路模块设计区域周长的3倍,所述局部时钟设计区域采用网格型时钟网络结构设计;否者,继续沿用树型时钟网络结构设计。
进一步地,当时序违例小于一预定的百分比时,所述时钟分布网络在关键路径上的局部时钟电路设计区域采用网格型时钟网络结构设计。
为实现上述目的,本发明还的技术方案如下:
一种根据上述时钟分布网络结构的生成方法,其包括如下步骤:
步骤S1:所述时钟分布网络被分成多个局部时钟电路设计区域;
步骤S2:将所述多个局部时钟电路设计区域间的时钟分布网络结构设计为树型时钟分布网络结构;
步骤S3:从时钟分布网络时钟接入点为树干开始,将在局部时钟电路设计区域的某一特定子区域,或是位于所述时钟分布网络的末端的局部时钟电路设计区域,采用网格型时钟网络结构设计。
进一步地,所述步骤S3具体包括如下步骤:
步骤S31:从时钟分布网络时钟接入点为树干开始,根据时钟网络实现算法的参数,判断下级所述局部时钟电路设计区域是否采用网格型时钟网络结构;其中,所述参数决定于时钟节点在局部时钟电路设计区域所占的比例、时钟节点所连接的时序电路个数在所述局部时钟设计区域中所占的比例和/或局部时钟电路设计区域中时钟布线的总长度成倍数地大于局部电路模块设计区域的周长;如果是,执行步骤S32;否者,执行步骤S33;
步骤S32:所述局部时钟电路设计区域采用网格型时钟网络结构设计;
步骤S33:所述局部时钟电路设计区域采用树型时钟分布网络结构设计。
为实现上述目的,本发明的又一技术方案如下:
一种根据上述时钟分布网络结构的生成方法,其包括如下步骤:
步骤S1':将所述时钟分布网络分成多个局部时钟电路设计区域;
步骤S2':所述多个局部时钟电路设计区域间的时钟分布网络结构为树型时钟分布网络结构;
步骤S3':从时钟分布网络时钟接入点为树干开始,将在关键路径上的一个或多个局部时钟电路设计区域,采用网格型时钟网络结构。
进一步地,所述步骤S3'具体包括如下步骤:
步骤S31':从时钟分布网络时钟接入点为树干开始,判断下级所述局部时钟电路设计区域是否位于关键路径上,如果是,执行步骤S32';否则,执行步骤S33';
步骤S32':判断时序违例是否小于一预定的百分比,如果是,将所述时钟分布网络在关键路径上的局部时钟电路设计区域使用网格型时钟网络;否则,执行步骤S33';
步骤S33':将所述局部时钟电路设计区域采用树型时钟分布网络结构。
从上述技术方案可以看出,本发明一种时钟分布网络结构及其生成方法,对数字时序电路设计中关键的时钟网络合成技术提出了新颖的解决方案,其灵活地结合树型时钟网络合成法和网格型时钟网络合成法,对超大规模集成电路设计的时序设计提出了更加灵活的设计思想与算法。
附图说明
图1为现有技术中树状结构的时钟分布网络示意图
图2为现有技术中网格型时钟分布网络示意图
图3为现有技术一时钟分布网络结构的示意图
图4为本发明时钟分布网络结构一较佳实施例的示意图
图5为本发明时钟分布网络结构另一较佳实施例的示意图
具体实施方式
下面结合附图3-5,对本发明的具体实施方式作进一步的详细说明。
需要说明的是,本发明时钟分布网络时钟分布网络结构及其生成方法,最大限度地结合了时钟树和时钟网格的在数字电路设计方面的优点,为电路设计提供最优的解决方法。
在本发明的实施例中,全局的时钟分布网络分布于多个局部时钟电路设计区域;每一个局部时钟电路设计区域可以作为一个小的功能电路模块。与现有技术不同的是,本发明将多个局部时钟电路设计区域之间的时钟分布网络设计成为树型时钟分布网络结构,而局部时钟电路设计区域需要根据实际时序计算结果以及电路模块的实际实现情况,选用树型时钟分布网络结构设计或网格型时钟分布网络结构设计。
也就是说,本发明的从时钟分布网络时钟接入点为树干开始,在至少一个局部时钟电路设计区域的某一特定子区域,或是在至少一个时钟分布网络末端的局部时钟电路设计区域,采用网格型时钟网络结构设计;或者,全局时钟分布网络在关键路径上的一个或多个局部时钟电路设计区域采用网格型时钟网络结构设计。
实施例一
请参阅图3和图4,图3为现有技术一时钟分布网络结构的示意图,图4为本发明时钟分布网络结构一较佳实施例的示意图。图3中的时钟分布网络结构在本发明实施例的解决方案主要如下:
在本实施例中的解决方法是沿用树型时钟分布网络在布局布线和功耗方面的优势,其在全局的时钟分布网络合成时继续使用这种结构,这样可以节省全局的布局布线资源和芯片整体的功耗,而在电路设计的局部时钟电路设计区域,或是时钟分布网络结构的末端,根据实际时序计算结果以及电路模块的实际实现情况,采用网格型时钟分布网络结构,缩小时序在局部时钟电路设计区域的差异,优化电路的时序设计,同时增强了时序网络对工艺差异变化的容忍性。
在本发明的实施例中,局部时钟电路设计区域是否采用网格型时钟网络结构设计,可以是根据时钟网络实现算法的参数确定。这些时钟网络实现算法的参数可以决定于时钟节点在局部时钟电路设计区域所占的比例、时钟节点所连接的时序电路个数在局部时钟设计区域中所占的比例和/或局部时钟电路设计区域中时钟布线的总长度成倍数地大于局部电路模块设计区域的周长等。
需要说明的是,在决定局部布局布线的算法时,可以根据电路在局部时钟电路设计区域实现的具体情况,用上述一个或多个参数带入时钟分布网络的实现算法,来确定该局部时钟电路设计区域是否采用网格型时钟网络结构设计。具体地:
①、如果时钟节点在局部时钟电路设计区域所占的比例超过30%,局部时钟设计区域采用网格型时钟网络结构设计;否者,时钟节点在局部时钟电路设计区域所占的比例较小,可以继续沿用树型时钟网络结构设计。当然,比例30%仅作为较佳的示例,并表示用于限制本发明。
②、如果时钟节点所连接的时序电路个数在局部时钟设计区域中所占的比例超过20%,时钟节点所连接的时序电路个数在局部时钟设计区域中所占的比例较小,局部时钟设计区域采用网格型时钟网络结构设计;否者,继续沿用树型时钟网络结构设计。当然,比例20%仅作为较佳的示例,并表示用于限制本发明。
③、如果局部时钟电路设计区域中时钟布线的总长度大于局部电路模块设计区域周长的3倍,该局部时钟设计区域采用网格型时钟网络结构设计;否者,局部时钟电路设计区域中时钟布线的总长度较短,继续沿用树型时钟网络结构设计。当然,倍数3仅作为较佳的示例,并表示用于限制本发明。
请继续参阅图4,这种时钟分布网络的合成方法所产生的时钟分布网络形状比较像真正的树(包含了‘树干’和‘树叶’),所以,可以称之为真树(True-Tree)式时钟分布网络合成法,或者可以称之为树叶(Tree-Leaf)式时钟分布网络合成法。
下面介绍一下本实施例中根据上述时钟分布网络结构的生成方法,其包括如下步骤:
步骤S1:所述时钟分布网络被分成多个局部时钟电路设计区域;
步骤S2:将所述多个局部时钟电路设计区域间的时钟分布网络结构设计为树型时钟分布网络结构;
步骤S3:从时钟分布网络时钟接入点为树干开始,将在局部时钟电路设计区域的某一特定子区域,或是位于所述时钟分布网络的末端的局部时钟电路设计区域,采用网格型时钟网络结构设计。
该步骤S3具体可以包括如下步骤:
步骤S31:从时钟分布网络时钟接入点为树干开始,根据时钟网络实现算法的参数,判断下级所述局部时钟电路设计区域是否采用网格型时钟网络结构;其中,所述参数决定于时钟节点在局部时钟电路设计区域所占的比例、时钟节点所连接的时序电路个数在所述局部时钟设计区域中所占的比例和/或局部时钟电路设计区域中时钟布线的总长度成倍数地大于局部电路模块设计区域的周长;如果是,执行步骤S32;否者,执行步骤S33;
步骤S32:所述局部时钟电路设计区域采用网格型时钟网络结构设计;
步骤S33:所述局部时钟电路设计区域采用树型时钟分布网络结构设计。
实施例二
请参阅图5,图5为本发明时钟分布网络结构另一较佳实施例的示意图。
在本实施例中的解决方法是涉及时钟分布网络的优化问题。本领域技术人员清楚,时序电路设计的优化中最关键的是对关键路径(critical path)的优化,时序电路的性能好坏,设计的成功与否都取决于设计中的关键路径能否满足时序设计的需求。
网络型时钟分布网络结构,由于它优良的时序平衡性能,可以用来辅助对关键路径的时序优化。如图5所示,在关键路径上使用网格型时钟分布网络合成方法,可以取得更加平衡的时序分布,提供更多的时钟接入点,为局部时序电路的设计提供更高的灵活性。
在本发明的实施例中,可以根据需要,在时钟分布网络关键路径上的一个或多个局部时钟电路设计区域,采用网格型时钟网络结构设计。例如,可以根据时序违例的百分比来确定,当时序违例小于30%时,可以使用本方法进行时序修正。当然,对于在非关键路径上的部分局部时钟电路设计区域就没有必要浪费宝贵的布局布线资源来做网格式时钟网络结构设计了。
下面介绍一下本实施例中根据上述时钟分布网络结构的生成方法,其包括如下步骤:
步骤S1':将所述时钟分布网络分成多个局部时钟电路设计区域;
步骤S2':所述多个局部时钟电路设计区域间的时钟分布网络结构为树型时钟分布网络结构;
步骤S3':从时钟分布网络时钟接入点为树干开始,将在关键路径上的一个或多个局部时钟电路设计区域,采用网格型时钟网络结构。
进一步地,所述步骤S3'具体包括如下步骤:
步骤S31':从时钟分布网络时钟接入点为树干开始,判断下级所述局部时钟电路设计区域是否位于关键路径上,如果是,执行步骤S32';否则,执行步骤S33';
步骤S32':判断时序违例是否小于一预定的百分比,如果是,将所述时钟分布网络在关键路径上的局部时钟电路设计区域使用网格型时钟网络;否则,执行步骤S33';
步骤S33':将所述局部时钟电路设计区域采用树型时钟分布网络结构。
以上所述的仅为本发明的优选实施例,所述实施例并非用以限制本发明的专利保护范围,因此凡是运用本发明的说明书及附图内容所作的等同结构变化,同理均应包含在本发明的保护范围内。

Claims (10)

1.一种时钟分布网络结构,所述时钟分布网络分布于多个局部时钟电路设计区域;所述多个局部时钟电路设计区域之间的时钟分布网络为树型时钟分布网络结构,其特征在于,
所述从时钟分布网络时钟接入点为树干开始,在至少一个局部时钟电路设计区域的某一特定子区域,或是在至少一个所述时钟分布网络末端的局部时钟电路设计区域,采用网格型时钟网络结构设计;或者,在所述时钟分布网络关键路径上的一个或多个局部时钟电路设计区域采用网格型时钟网络结构设计。
2.根据权利要求1所述的时钟分布网络结构,其特征在于,所述局部时钟电路设计区域是否采用网格型时钟网络结构设计,是根据时钟网络实现算法的参数确定;所述参数决定于时钟节点在局部时钟电路设计区域所占的比例、时钟节点所连接的时序电路个数在所述局部时钟设计区域中所占的比例和/或局部时钟电路设计区域中时钟布线的总长度成倍数地大于局部时钟电路设计区域的周长。
3.根据权利要求2所述的时钟分布网络结构,其特征在于,如果所述时钟节点在局部时钟电路设计区域所占的比例超过30%,所述局部时钟设计区域采用网格型时钟网络结构设计;否者,继续沿用树型时钟网络结构设计。
4.根据权利要求2所述的时钟分布网络结构,其特征在于,如果所述时钟节点所连接的时序电路个数在所述局部时钟设计区域中所占的比例超过20%,所述局部时钟设计区域采用网格型时钟网络结构设计;否者,继续沿用树型时钟网络结构设计。
5.根据权利要求2所述的时钟分布网络结构,其特征在于,如果所述局部时钟电路设计区域中时钟布线的总长度大于局部电路模块设计区域周长的3倍,所述局部时钟设计区域采用所述网格型时钟网络结构设计;否者,继续沿用树型时钟网络结构设计。
6.根据权利要求1所述的时钟分布网络结构,其特征在于,当时序违例小于一预定的百分比时,所述时钟分布网络在关键路径上的局部时钟电路设计区域采用网格型时钟网络结构设计。
7.一种根据权利要求1所述的时钟分布网络结构的生成方法,其特征在于,包括如下步骤:
步骤S1:所述时钟分布网络被分成多个局部时钟电路设计区域;
步骤S2:将所述多个局部时钟电路设计区域之间的时钟分布网络结构设计为树型时钟分布网络结构;
步骤S3:从时钟分布网络时钟接入点为树干开始,将在局部时钟电路设计区域的某一特定子区域,或是位于所述时钟分布网络的末端的局部时钟电路设计区域,采用网格型时钟网络结构设计。
8.根据权利要求7所述的时钟分布网络结构的生成方法,其特征在于,所述步骤S3具体包括如下步骤:
步骤S31:从时钟分布网络时钟接入点为树干开始,根据时钟网络实现算法的参数,判断下级所述局部时钟电路设计区域是否采用网格型时钟网络结构;其中,所述参数决定于时钟节点在局部时钟电路设计区域所占的比例、时钟节点所连接的时序电路个数在所述局部时钟设计区域中所占的比例和/或局部时钟电路设计区域中时钟布线的总长度成倍数地大于局部电路模块设计区域的周长;如果是,执行步骤S32;否者,执行步骤S33;
步骤S32:所述局部时钟电路设计区域采用网格型时钟网络结构设计;
步骤S33:所述局部时钟电路设计区域采用树型时钟分布网络结构设计。
9.一种根据权利要求1所述的时钟分布网络结构的生成方法,其特征在于,包括如下步骤:
步骤S1':将所述时钟分布网络分成多个局部时钟电路设计区域;
步骤S2':所述多个局部时钟电路设计区域间的时钟分布网络结构为树型时钟分布网络结构;
步骤S3':从时钟分布网络时钟接入点为树干开始,将在关键路径上的一个或多个局部时钟电路设计区域,采用网格型时钟网络结构。
10.根据权利要求9所述的方法,其特征在于,所述步骤S3'具体包括如下步骤:
步骤S31':从时钟分布网络时钟接入点为树干开始,判断下级所述局部时钟电路设计区域是否位于关键路径上,如果是,执行步骤S32';否则,执行步骤S33';
步骤S32':判断时序违例是否小于一预定的百分比,如果是,将所述时钟分布网络在关键路径上的局部时钟电路设计区域使用网格型时钟网络;否则,执行步骤S33';
步骤S33':将所述局部时钟电路设计区域采用树型时钟分布网络结构。
CN201710146090.9A 2017-03-13 2017-03-13 一种时钟分布网络结构及其生成方法 Active CN106960087B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201710146090.9A CN106960087B (zh) 2017-03-13 2017-03-13 一种时钟分布网络结构及其生成方法
US15/659,577 US10380288B2 (en) 2017-03-13 2017-07-25 Structure and generation method of clock distribution network

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710146090.9A CN106960087B (zh) 2017-03-13 2017-03-13 一种时钟分布网络结构及其生成方法

Publications (2)

Publication Number Publication Date
CN106960087A true CN106960087A (zh) 2017-07-18
CN106960087B CN106960087B (zh) 2020-05-19

Family

ID=59470842

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710146090.9A Active CN106960087B (zh) 2017-03-13 2017-03-13 一种时钟分布网络结构及其生成方法

Country Status (2)

Country Link
US (1) US10380288B2 (zh)
CN (1) CN106960087B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110688723A (zh) * 2019-09-05 2020-01-14 上海高性能集成电路设计中心 一种时钟分布网络快速设计方法
CN112217707A (zh) * 2020-11-10 2021-01-12 北京百瑞互联技术有限公司 集成电路时钟树网络质量评估方法、装置和介质

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10599882B1 (en) * 2018-02-02 2020-03-24 Synopsys, Inc. Using a layer performance metric (LPM) to perform placement, routing, and/or optimization of an integrated circuit (IC) design
KR20220055808A (ko) * 2020-10-27 2022-05-04 삼성전자주식회사 클럭 트리의 배치 방법, 집적 회로 및 집적 회로의 설계 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080148203A1 (en) * 2006-12-14 2008-06-19 Alpert Charles J Latch Placement for High Performance and Low Power Circuits
US20120176157A1 (en) * 2011-01-10 2012-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Clock-Tree Transformation in High-Speed ASIC Implementation
CN103793483A (zh) * 2014-01-16 2014-05-14 清华大学 基于时钟节点结群的时钟树生成方法和系统
CN104937596A (zh) * 2012-11-28 2015-09-23 高通股份有限公司 用于3d集成电路的时钟分布网络

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3386031B2 (ja) * 2000-03-06 2003-03-10 日本電気株式会社 同期遅延回路及び半導体集積回路装置
US6943610B2 (en) * 2002-04-19 2005-09-13 Intel Corporation Clock distribution network using feedback for skew compensation and jitter filtering
US6934924B2 (en) * 2003-01-31 2005-08-23 Terachip Inc. Layout methodology and system for automated place and route
US20060080632A1 (en) * 2004-09-30 2006-04-13 Mathstar, Inc. Integrated circuit layout having rectilinear structure of objects
US20070247189A1 (en) * 2005-01-25 2007-10-25 Mathstar Field programmable semiconductor object array integrated circuit
US7788613B2 (en) * 2005-07-06 2010-08-31 Fujitsu Limited Border-enhanced sliding window scheme (SWS) for determining clock timing in a mesh-based clock architecture
US7725852B2 (en) * 2005-07-06 2010-05-25 Fujitsu Limited Sliding window scheme (SWS) for determining clock timing in a mesh-based clock architecture
US7475374B1 (en) * 2005-12-20 2009-01-06 Advanced Micro Devices, Inc. Clock grid driven by virtual leaf drivers
US7802215B2 (en) * 2006-06-06 2010-09-21 Fujitsu Limited System and method for providing an improved sliding window scheme for clock mesh analysis
US7913210B2 (en) * 2007-05-02 2011-03-22 Cadence Design Systems, Inc. Reducing critical cycle delay in an integrated circuit design through use of sequential slack
US7624364B2 (en) * 2007-05-02 2009-11-24 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
US7739642B2 (en) * 2007-05-02 2010-06-15 Cadence Design Systems, Inc. Optimizing integrated circuit design through balanced combinational slack plus sequential slack
US7743354B2 (en) * 2007-05-02 2010-06-22 Cadence Design Systems, Inc. Optimizing integrated circuit design through use of sequential timing information
US7911253B1 (en) * 2007-11-30 2011-03-22 Marvell International Ltd. Hybrid clock network
US8099702B2 (en) * 2008-07-30 2012-01-17 Synopsys, Inc. Method and apparatus for proximate placement of sequential cells
JP5444985B2 (ja) * 2009-09-16 2014-03-19 日本電気株式会社 情報処理装置
TWI435437B (zh) * 2010-10-28 2014-04-21 Univ Nat Taiwan 時鐘樹結構及其合成方法
CN102567557B (zh) * 2010-12-20 2014-07-09 国际商业机器公司 用于构建用于集成电路设计的时钟树的方法和装置
WO2013064868A1 (en) * 2011-11-04 2013-05-10 Freescale Semiconductor, Inc. Multi-level clock signal distribution network and integrated circuit
US8966425B1 (en) * 2012-06-03 2015-02-24 Pulsic Limited Clock tree generation and routing
US8836403B2 (en) * 2012-08-31 2014-09-16 Advanced Micro Devices, Inc. Programmable clock driver
US8629548B1 (en) * 2012-10-11 2014-01-14 Easic Corporation Clock network fishbone architecture for a structured ASIC manufactured on a 28 NM CMOS process lithographic node
KR102012904B1 (ko) * 2012-11-30 2019-08-21 삼성전자주식회사 반도체 집적회로와 그 동작 방법
US8994404B1 (en) * 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
JP6136430B2 (ja) * 2013-03-25 2017-05-31 富士通株式会社 設計支援装置、設計支援方法、および設計支援プログラム
US9360884B2 (en) * 2013-11-08 2016-06-07 Altera Corporation Clocking for pipelined routing
US9319030B2 (en) * 2013-12-12 2016-04-19 International Business Machines Corporation Integrated circuit failure prediction using clock duty cycle recording and analysis
US9503057B1 (en) * 2013-12-20 2016-11-22 Altera Corporation Clock grid for integrated circuit
US9443053B2 (en) * 2013-12-26 2016-09-13 Cavium, Inc. System for and method of placing clock stations using variable drive-strength clock drivers built out of a smaller subset of base cells for hybrid tree-mesh clock distribution networks
US9390209B2 (en) * 2013-12-26 2016-07-12 Cavium, Inc. System for and method of combining CMOS inverters of multiple drive strengths to create tune-able clock inverters of variable drive strengths in hybrid tree-mesh clock distribution networks
US9305129B2 (en) * 2013-12-26 2016-04-05 Cavium, Inc. System for and method of tuning clock networks constructed using variable drive-strength clock inverters with variable drive-strength clock drivers built out of a smaller subset of base cells
US9922157B1 (en) * 2014-09-30 2018-03-20 Altera Corporation Sector-based clock routing methods and apparatus
US9823688B2 (en) * 2015-04-08 2017-11-21 Apple Inc. Level balanced clock tree

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080148203A1 (en) * 2006-12-14 2008-06-19 Alpert Charles J Latch Placement for High Performance and Low Power Circuits
US20120176157A1 (en) * 2011-01-10 2012-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Clock-Tree Transformation in High-Speed ASIC Implementation
CN104937596A (zh) * 2012-11-28 2015-09-23 高通股份有限公司 用于3d集成电路的时钟分布网络
CN103793483A (zh) * 2014-01-16 2014-05-14 清华大学 基于时钟节点结群的时钟树生成方法和系统

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110688723A (zh) * 2019-09-05 2020-01-14 上海高性能集成电路设计中心 一种时钟分布网络快速设计方法
CN110688723B (zh) * 2019-09-05 2023-04-18 上海高性能集成电路设计中心 一种时钟分布网络快速设计方法
CN112217707A (zh) * 2020-11-10 2021-01-12 北京百瑞互联技术有限公司 集成电路时钟树网络质量评估方法、装置和介质
CN112217707B (zh) * 2020-11-10 2022-03-04 北京百瑞互联技术有限公司 集成电路时钟树网络质量评估方法、装置和介质

Also Published As

Publication number Publication date
US10380288B2 (en) 2019-08-13
US20180260507A1 (en) 2018-09-13
CN106960087B (zh) 2020-05-19

Similar Documents

Publication Publication Date Title
CN106960087A (zh) 一种时钟分布网络结构及其生成方法
US9830418B2 (en) Clock-tree transformation in high-speed ASIC implementation
CN102369508B (zh) 电子系统中的暂时辅助资源共享
CN100541385C (zh) 数字电视调制器芯片中同步分频时钟的产生装置及其方法
CN101267315B (zh) 用于片上网络的一种不规则拓扑结构生成方法
CN114330191B (zh) 一种信号复用传输的方法及装置
CN104754053A (zh) 一种分布式软件定义网络及在其中动态控制控制器的方法
US20240088901A1 (en) Low power clock network
WO2023029487A1 (zh) 用于确定片上网络拓扑结构的方法、装置及芯片
CN104484583B (zh) 一种限定有效期的ip核的保护方法
Rahmati et al. A performance and power analysis of WK-recursive and mesh networks for network-on-chips
CN104239600A (zh) 基于多商品流的大规模集成电路详细布线方法
CN105334906A (zh) 纳米工艺下多级门控时钟网络优化方法
CN105141558B (zh) 加扰装置及加扰配置方法
CN103259529A (zh) 一种采用跳跃进位链的集成电路
US20160301414A1 (en) Dynamic Interconnect with Partitioning on Emulation and Protyping Platforms
Jueping et al. OPNEC-Sim: an efficient simulation tool for network-on-Chip communication and energy performance analysis
CN105989197B (zh) 基于sat算法的时钟树布线方法
CN104951609B (zh) 一种处理门级网表中的同步逻辑结构的方法
Rajaram et al. Robust chip-level clock tree synthesis for SOC designs
Chen et al. An enhanced heuristic layer assignment method in global routing
Azhdari et al. Cost-Aware Topological Decomposition of Virtual Network Function Forwarding Graphs
Beretta et al. Island-based adaptable embedded system design
Li et al. The research of interconnection network on coarse-grained reconfigurable Cipher Logic Array
Alipour et al. Congestion and track usage improvement of large FPGAs using metro-on-FPGA methodology

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant