CN104239600A - 基于多商品流的大规模集成电路详细布线方法 - Google Patents

基于多商品流的大规模集成电路详细布线方法 Download PDF

Info

Publication number
CN104239600A
CN104239600A CN201410321525.5A CN201410321525A CN104239600A CN 104239600 A CN104239600 A CN 104239600A CN 201410321525 A CN201410321525 A CN 201410321525A CN 104239600 A CN104239600 A CN 104239600A
Authority
CN
China
Prior art keywords
wiring
gauze
commodity flow
integrated circuit
multiple commodity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410321525.5A
Other languages
English (en)
Other versions
CN104239600B (zh
Inventor
陈刚
李佐渭
李卓远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Original Assignee
NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD filed Critical NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Priority to CN201410321525.5A priority Critical patent/CN104239600B/zh
Publication of CN104239600A publication Critical patent/CN104239600A/zh
Application granted granted Critical
Publication of CN104239600B publication Critical patent/CN104239600B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种基于多商品流的大规模集成电路详细布线的方法,属于集成电路设计领域,其将布图区域内的详细布线问题划分成布图子区域内的详细布线子问题,再将所述详细布线子问题抽象成多商品流问题,利用整数规划的方法进行求解,通过对于不同设计规则的建模,在问题模型中加入对应的约束来满足设计规则。本发明能够消除顺序布线对于布线结果的影响,有效提高超大规模的集成电路详细布线的布通率,同时能够满足互连线线宽在65nm及以下的工艺节点出现的各种复杂设计规则约束。

Description

基于多商品流的大规模集成电路详细布线方法
技术领域
本发明属于集成电路设计领域,尤其是属于互连线线宽在65nm及以下的集成电路制造工艺下的集成电路设计优化的技术范畴,具体涉及一种基于多商品流的大规模集成电路详细布线方法。
背景技术
集成电路是由设计者借助于电子设计自动化(EDA)工具设计出集成电路版图,交付集成电路制造商,通过电路掩膜制备(Mask)、以及对晶片(Wafer)进行氧化、掺杂、光刻等一系列的制作工艺将电路掩膜转移到晶片上,从而实现其电路功能。对于数字电路设计来说,版图设计流程包括行为级综合,逻辑综合,物理设计和版图优化等步骤。其中,物理设计是最为耗时,对芯片性能影响最大的步骤,它又分为布图规划,布局,时钟树综合,布线等步骤。详细布线是指在布线过程中,在总体布线确定线网拓扑结构,布线层和布线通道分配之后,在布线区域内生成金属线和通孔,完成线网的物理连接的阶段。
在芯片制造中,目前主流的紫外线光刻线宽度是65nm/45nm,并正在朝着28nm/22nm的节点发展。由于特征尺寸的减小,芯片上可容纳晶体管数量相应增加,电路设计的问题规模和复杂度也随之不断提高,主流的详细布线工具一般是基于顺序布线和拆分重布的流程,这使得布线结果很大程度上受到布线顺序的影响。为满足工艺的发展,传统的布线工具必须提高布通率,具备处理更大规模复杂问题的能力。
 
发明内容
针对上述现有技术的不足,本发明的目的在于提供一种基于多商品流的大规模集成电路多线网同时布线的详细布线的方法。该方法提出了一种多商品流的模型,能够将布线区域内多线网的详细布线问题转化成具有多个约束的多商品流问题,通过整数规划的方法进行求解,从而消除线网布线顺序对布线结果的影响,提高布通率。
为解决上述技术问题,本发明采用如下技术方案:
一种基于多商品流的大规模集成电路详细布线的方法,将芯片布线区域内的纤细布线问题划分成多个布线子区域内的详细布线子问题进行求解;在每个布线子区域内,根据布线层数和每一层的布线通道间距,将布线子区域划分成三维的布线网格有向图G = (VE), 其中V = {v 1 v 2 , …, v n }是图中的布线顶点,E = {e 1 e 2 , …, e m }是图中的布线边;任意给定一对顶点,必有两条方向相反的布线边连接它们。
    一种基于多商品流的大规模集成电路详细布线的方法,在每个布线子区域内,首先确定线网连接端数,对于连接端大于2的线网,根据线网斯坦纳树,将该线网划分成多个二端连接的子线网;在每个布线子区域内,对这些二端连接的子线网N = {n 1 n 2 , …, n k },同时进行详细布线。
    一种基于多商品流的大规模集成电路详细布线的方法,在每个布线子区域内,为每个二端子线网i生成虚拟源点vs i 和虚拟漏点vt i ,其中,虚拟源点vs i 通过有向输出边连接到子线网输出引脚或者斯坦纳点所覆盖的布线顶点上,虚拟漏点vt i 通过有向输入边连接到子线网的输入引脚所覆盖的布线顶点上。
一种基于多商品流的大规模集成电路详细布线的方法,根据上述布线有向图和布线子线网,生成如下变量:
u(e)是布线边e的容量,如果e被布线障碍占用,那么u(e) = 0,否则u(e) = 1; 
c(e)是布线边e的费用,根据布线层规定的布线方向以及线宽线间距决定;
d(iv)是由布线顶点和子线网决定的每个顶点上的流量,如果顶点v被子线网i的虚拟源vs i 所占用,那么d(iv) = 1,如果顶点v被子线网i的虚拟漏点vt i 所占用,那么d(iv) = -1,否则d(iv) = 0。
所述多商品流模型的目标函数如下: 
其中f(ie) 是0-1决策变量,f(ie) = 1意味着布线边e被子线网i占用,否则f(ie) = 0。
所述多商品流模型的线网连通性约束如下:令表示从顶点v流出边的流量之和,令表示从顶点v流入边的流量之和,那么对于每一顶点v,需要满足如下约束条件:
所述多商品流模型的布线边非短路约束如下:对于每一布线边e,需满足如下约束条件:
所述多商品流模型的布线顶点非短路约束如下:对于每一布线顶点v,需满足如下约束条件:
    上述目标函数和连通性以及非短路约束组成了基本的详细布线问题的多商品流模型,通过求解该模型,能够得到合理的没有断开路径和短路的详细布线结果。
    如果顶点v连接的金属走线边被子线网i所占用,那么we(vi) = 1,否则we(vi) = 0;如果顶点v连接的通孔边被子线网i所占用,那么ve(vi) = 1,否则ve(vi) = 0;在上述多商品流基本模型中,针对不同的线宽线间距的设计规则,加入如下所述的约束条件:
    a.如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽和线间距之和,那么必须满足如下约束条件:
    b.如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,金属线间距以及通孔overhang长度之和,那么必须满足如下约束条件:
    c.如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,金属线间距以及两倍通孔overhang长度之和,那么必须满足如下约束条件:
    d.如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽和End-Of-Line线间距之和,那么必须满足如下约束条件:
    e.如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,End-Of-Line线间距以及通孔overhang长度之和,那么必须满足如下约束条件:
    f.如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,End-of-Line线间距以及两倍通孔overhang长度之和,那么必须满足如下约束条件:
    g.如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的通孔间距,那么必须满足如下约束条件:
    在所述多商品流问题模型中加入上述约束条件,求解该0-1整数规划问题得到最终的布线方案。
与现有技术相比,上述技术方案具有如下有益效果:
本发明提出了一种多商品流的模型,该方法能够将布线区域内多线网的详细布线问题转化成具有多个约束的多商品流问题,通过整数规划的方法进行求解,从而能够消除顺序布线对于布线结果的影响,有效提高超大规模的集成电路详细布线的布通率,同时能够满足互连线线宽在65nm及以下的工艺节点出现的各种复杂设计规则约束。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,并可依照说明书的内容予以实施,以下以本发明的较佳实施例并配合附图对本专利进行详细说明。
附图说明
图1为本发明详细布线问题示意图。
图2为本发明输入输出示意图。
图3为本发明程序流程图。
图4为本发明线网连通性示意图。
图5为本发明设计规则约束示意图。
具体实施方式
 下面结合附图对本发明的优选实施例进行详细介绍。
 本实施例设计了一种基于多商品流的大规模集成电路详细布线的方法,将布线区域划分成不同的布线子区域,在每一个布线子区域内生成基于网格的有向布线图,在该有向图上进行详细布线。该方法将多个线网的详细布线问题抽象成多商品流问题进行求解,能够解决布线顺序对于布线结果的影响,提高布通率,参见图1所示。其中,a和b是顺序布线的结果,由于单线网布线的局限性,无法在该区域内成功布线,c是多商品流布线结果。
    首先,结合图2对本发明得到输入输出进行说明。输入包括包含总体布线版图信息的DEF文件和包含工艺信息的LEF文件,输出是包含通道布线版图信息的DEF文件。LEF/DEF是工业界标准的版图信息和工艺信息描述格式。通过调用OpenAcess(OA)数据平台提供的接口,进行数据/程序的交互以及输入输出的转换。
    然后,结合图3 对本发明进行详细阐述。
    步骤1)读取布线数据信息
    基于OA数据平台,使用内置的lef2oa和def2oa命令将输入的LEF/DEF文件转换为OA内部的数据结构。转换为OA的数据结构之后,对于标准单元,单元实例,布线层,布线资源等静态数据,该发明直接使用OA的数据接口。对于互连线,通孔等该发明需要修改更新的动态数据,进一步将这些信息存放于该发明构造好的内部数据结构中,以便进行后续的操作。
    步骤2)预处理布线区域
    本发明将布线区域划分成若干布线子区域,对于每个布线子区域,根据布线层数,每一布线层的最小线宽线间距要求,将其划分成三维的布线网格有向图, 其中是图中的布线顶点,是图中的布线边。有向图上的顶点是线网可以占用的布线资源,顶点之间的边决定了金属线或者通孔的具体走线位置。其中,每一对相邻网格点之间有两条反向的有向边进行连接。
    步骤3)预处理布线线网
    本发明将芯片上的线网,按照布线子区域进行分割并分配至每个布线子区域,线网的连接端点是属于该布线子区域的单元引脚,或者穿越布线子区域边界的切割点。在每个布线子区域中,首先为每个线网生成最小线长的斯坦纳树,然后根据斯坦纳点将端点数多于二的线网划分成一组端点数为二的两端线网。对于每一个两端线网i,生成虚拟源点vs i 和虚拟漏点vt i ,其中,虚拟源点vs i 通过有向输出边连接到子线网输出引脚或者斯坦纳点所覆盖的布线顶点上,虚拟漏点vt i 通过有向输入边连接到子线网的输入引脚所覆盖的布线顶点上。
    步骤4)构造多商品流基本模型
    对于每一个布线子区域,本发明构造详细布线问题的多商品流模型,其中需要的基本变量如下:
u(e)是布线边e的容量,如果e被布线障碍占用,那么u(e) = 0,否则u(e) = 1; 
c(e)是布线边e的费用,根据布线层规定的布线方向以及线宽线间距决定;
d(iv)是由布线顶点和子线网决定的每个顶点上的流量,如果顶点v被子线网i的虚拟源vs i 所占用,那么d(iv) = 1,如果顶点v被子线网i的虚拟漏点vt i 所占用,那么d(iv) = -1,否则d(iv) = 0。
多商品流模型的目标函数如下: 
其中f(ie) 是0-1决策变量,f(ie) = 1意味着布线边e被子线网i占用,否则f(ie) = 0。
    所述多商品流模型的线网连通性约束如下:令表示从顶点v流出边的流量之和,令表示从顶点v流入边的流量之和,那么对于每一顶点v,需要满足约束条件;该条件保证了线网的布线连通性,参见图4所示,除了源点vs和漏点vt之外,中间节点必然是流入的流量等于流出的流量。
    所述多商品流模型的布线边非短路约束如下:对于每一布线边e,需满足约束条件;该条件保证了生成的布线结果中,任意两条线网不会共用同一条布线边,并且线网的布线不会经过被布线障碍所占用的布线边。
    所述多商品流模型的布线顶点非短路约束如下:对于每一布线顶点v,需满足约束条件;该条件保证了生成的布线结果中,任意两条线网不会共用同一个布线顶点。
    由此得到的多商品流基本模型如下所示,求解该模型就能够得到合理的没有断开路径和短路的详细布线结果。
    步骤5)处理设计规则约束
    为了处理复杂的线宽线间距设计规则,引入如下的辅助变量:如果顶点v连接的金属走线边被子线网所占用,那么we(vi) = 1,否则we(vi) = 0;如果顶点v连接的通孔边被子线网所占用,那么ve(vi) = 1,否则ve(vi) = 0;在上述多商品流基本模型中,针对不同的线宽线间距的设计规则,加入如下所述的约束条件:
    a. 如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽和线间距之和,那么必须满足约束条件;该约束条件保证了线网的金属走线之间不会违反线间距的设计规则,参见图5所示,v1和v2之间的间距,即边e的长度小于线宽加上线间距之和,如果有一条线网经过v1,另一条经过v2,则它们之间会违反线间距规则。
    b. 如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,金属线间距以及通孔overhang长度之和,那么必须满足约束条件以及;该约束条件保证了线网的金属走线与通孔overhang之间不会违反线间距的设计规则。
    c. 如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,金属线间距以及两倍通孔overhang长度之和,那么必须满足约束条件;该约束条件保证了线网的通孔overhang之间不会违反线间距的设计规则。
    d. 如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽和End-Of-Line线间距之和,那么必须满足约束条件;该约束条件保证了线网的金属走线之间不会违反End-Of-Line线间距的设计规则。
    e. 如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,End-Of-Line线间距以及通孔overhang长度之和,那么必须满足约束条件以及;该约束条件保证了线网的金属走线和通孔overhang之间不会违反End-Of-Line线间距的设计规则。
    f. 如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的金属线宽,End-of-Line线间距以及两倍通孔overhang长度之和,那么必须满足约束条件;该约束条件保证了线网的通孔overhang之间不会违反End-Of-Line线间距的设计规则。
    g. 如果同一布线层上两个顶点vavb之间的间距小于线网i和线网j的通孔间距,那么必须满足约束条件;该约束条件保证了线网的通孔之间不会违反通孔间距的设计规则。
    步骤6)求解多商品流问题
    在所述多商品流问题模型中加入上述约束条件,求解该0-1整数规划问题。根据多商品流问题的求解结果,生成线网布线方案。
 以上对本发明实施例进行了详细介绍,对于本领域的一般技术人员,依据本发明实施例的思想,在具体实施方式及应用范围上均会有改变之处,综上所述,本说明书内容不应理解为对本发明的限制,凡依本发明设计思想所做的任何改变都在本发明的保护范围之内。

Claims (11)

1.基于多商品流的大规模集成电路详细布线的方法,其特征在于:将芯片布线区域内的详细布线问题划分成若干个独立布线子区域内的布线网格有向图上的详细布线子问题,再将所述详细布线子问题中的所有线网划分成二端子线网,针对这些二端子线网在有向布线图上的布线问题进行多商品流问题建模,求解多商品流问题并在布线图上标记网格点占用情况,从而生成所有线网的布线方案;具体包括以下步骤:
步骤1)预处理布线区域;
步骤2)预处理布线线网;
步骤3)构造多商品流基本模型;
步骤4)处理各种设计规则约束;
步骤5)求解多商品流问题。
2.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:步骤1中,将芯片上的布线区域划分成若干布线子区域,对于每个布线子区域,根据布线层数以及每一布线层的最小线宽线间距要求,将其划分成三维的布线网格有向图;该有向图上的顶点是线网可以占用的布线资源,顶点之间的边决定了金属线或者通孔的具体走线位置;其中,每一对相邻网格顶点之间有两条反向的有向边进行连接。
3.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤2中,对每一需要布线的线网,在上述有向图上生成独占布线资源的布线树,根据布线树的斯坦纳点,将多端线网拆分成二端子线网进行处理;对于每条二端子线网,为其建立唯一的虚拟源点(vs)和虚拟漏点(vt),将子线网的输出单元引脚或者斯坦纳点覆盖的网格点通过有向边连接到虚拟源点(vs)上,将子线网的输入单元引脚覆盖的网格点连接到虚拟漏点(vt)上。
4.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤3中,多商品流模型的目标函数为最小化各个子线网占用走线边上的加权流量之和。
5.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤3中,通过虚拟源点(vs)到虚拟漏点(vt)的布线路径上的流量守恒原则,保证各个子线网的布线连通性;即每一虚拟源点(vs)的流出流量是从该点出发的子线网个数;每一虚拟漏点(vt)的流入流量等于1;而布线图上除虚拟源点(vs)和虚拟漏点(vt)之外的所有网格点上的流入流量减去流出流量等于零;根据上述规则在多商品流问题建模中生成对应约束条件,来保证布线方案中任意子线网布线路径上不存在断开通路。
6.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤3中,根据网格顶点使用的唯一性,保证布线方案中网格点上不存在短路错误;即任意网格点的流入流量加上流出流量之和小于2;根据此规则在所述多商品流问题建模中生成对应的约束条件,保证不同的子线网不会占用同一网格点。
7.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤3中,根据网格边使用的唯一性,保证布线方案中网格边上不存在短路错误;即任意网格边上的流量不大于1;在多商品流问题建模中生成对应约束条件,保证不同的子线网不会占用同一走线边。
8.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤4中,对于不同线宽产生的线间距的设计规则要求,为每一对不同的线网a和线网b,在间距小于该约束的布线网格点对vi和vj上生成一组约束条件,保证布线方案不违反该设计规则;
所述约束条件为:如果vi被a占用,则used(a,vi)等于1,否则used(a,vi)等于零;
在多商品流模型中加入如下约束:used(a,vi)与used(b,vj)之和不大于1,并且used(a,vj)与used(b,vi)之和不大于1;
上述约束保证间距小于该设计规则要求的布线网格点对不会同时被两条线网的布线所使用,从而使布线方案中线网之间不存在违反该设计规则的布线。
9.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤4中,对于金属线和通孔之间产生的线间距的设计规则要求,为每一对不同的线网a和线网b,在间距小于该约束的布线网格点vi和vj上生成两组所述约束条件,保证布线方案不违反该设计规则;
所述约束条件为:如果vi被a的金属线占用,used(a,vi)等于1,否则used(a,vi)等于零;如果vi被a的金属通孔占用,used_via(a,vi)等于1,否则used_via(a,vi)等于零;
在多商品流模型中加入如下约束:used(a,vi)与used_via(b,vj)之和不大于1,以及used(a,vj)与used_via(b,vi)之和不大于1,约束线网A的布线到线网B的通孔;
在多商品流模型中加入如下约束:used_via(a,vi)与used(b,vj)之和不大于1,以及used_via(a,vj)与used(b,vi)之和不大于1,约束线网A的通孔到线网B的布线;
上述约束条件保证间距小于该设计规则要求的布线网格点对不会同时被两条线网的布线和通孔所使用,从而使布线方案中线网之间不存在违反该设计规则的布线。
10.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:在步骤4中,对于通孔间距的设计规则要求,为每一对不同的线网a和线网b,在间距小于该约束的布线网格点对vi和vj上生成一组约束条件,保证布线方案不违反该设计规则;
所述约束条件为:如果vi被a占用,则used_via(a,vi)等于1,否则used_via(a,vi)等于零;
在多商品流模型中加入如下约束:used_via(a,vi)与used_via(b,vj)之和不大于1,并且used_via(a,vj)与used_via(b,vi)之和不大于1;
上述约束保证间距小于该设计规则要求的布线网格点对不会同时被两条线网的通孔所使用,从而使布线方案中线网之间不存在违反该设计规则的布线。
11.根据权利要求1所述的基于多商品流的大规模集成电路详细布线的方法,其特征在于:步骤5中,完成所述多商品流问题建模过程后,利用整数规划的方法对问题进行求解,根据求解的结果,标记出网格点被子线网占用的情况,从而反向推理出各个子线网的布线方案,进而将属于同一线网的子线网的布线方案合并成该线网的布线结果。
CN201410321525.5A 2014-07-08 2014-07-08 基于多商品流的大规模集成电路详细布线方法 Expired - Fee Related CN104239600B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410321525.5A CN104239600B (zh) 2014-07-08 2014-07-08 基于多商品流的大规模集成电路详细布线方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410321525.5A CN104239600B (zh) 2014-07-08 2014-07-08 基于多商品流的大规模集成电路详细布线方法

Publications (2)

Publication Number Publication Date
CN104239600A true CN104239600A (zh) 2014-12-24
CN104239600B CN104239600B (zh) 2017-05-03

Family

ID=52227656

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410321525.5A Expired - Fee Related CN104239600B (zh) 2014-07-08 2014-07-08 基于多商品流的大规模集成电路详细布线方法

Country Status (1)

Country Link
CN (1) CN104239600B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107491598A (zh) * 2017-07-28 2017-12-19 清华大学 大规模微流控生物芯片快速布线方法及设备
CN108229070A (zh) * 2018-02-09 2018-06-29 爱驰汽车有限公司 车辆电池包的布线方法、系统、设备和存储介质
CN111553125A (zh) * 2020-04-23 2020-08-18 福州立芯科技有限公司 一种考虑先进技术的超大规模集成电路详细布线方法
CN112232018A (zh) * 2020-12-16 2021-01-15 南京集成电路设计服务产业创新中心有限公司 一种基于有向图的连接线表示方法
CN113255284A (zh) * 2021-05-30 2021-08-13 上海立芯软件科技有限公司 全局布线中快速局部拆线重布方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100023913A1 (en) * 2005-12-08 2010-01-28 International Business Machines Corporation Method for ic wiring yield optimization, including wire widening during and after routing
CN102063536A (zh) * 2010-12-17 2011-05-18 清华大学 基于模式匹配的电源/地线网络与布图规划的协同设计方法
CN102117350A (zh) * 2009-12-31 2011-07-06 中国科学院微电子研究所 多线网之间物理短路位置的自动定位方法
CN102148737A (zh) * 2011-04-22 2011-08-10 浙江大学 一种基于多商品流问题模型的虚拟网快速愈合方法
CN103235849A (zh) * 2013-04-18 2013-08-07 清华大学 电流驱动的集成电路自动布线方法及装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100023913A1 (en) * 2005-12-08 2010-01-28 International Business Machines Corporation Method for ic wiring yield optimization, including wire widening during and after routing
CN102117350A (zh) * 2009-12-31 2011-07-06 中国科学院微电子研究所 多线网之间物理短路位置的自动定位方法
CN102063536A (zh) * 2010-12-17 2011-05-18 清华大学 基于模式匹配的电源/地线网络与布图规划的协同设计方法
CN102148737A (zh) * 2011-04-22 2011-08-10 浙江大学 一种基于多商品流问题模型的虚拟网快速愈合方法
CN103235849A (zh) * 2013-04-18 2013-08-07 清华大学 电流驱动的集成电路自动布线方法及装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107491598A (zh) * 2017-07-28 2017-12-19 清华大学 大规模微流控生物芯片快速布线方法及设备
CN108229070A (zh) * 2018-02-09 2018-06-29 爱驰汽车有限公司 车辆电池包的布线方法、系统、设备和存储介质
CN108229070B (zh) * 2018-02-09 2019-08-06 爱驰汽车有限公司 车辆电池包的布线方法、系统、设备和存储介质
CN111553125A (zh) * 2020-04-23 2020-08-18 福州立芯科技有限公司 一种考虑先进技术的超大规模集成电路详细布线方法
CN112232018A (zh) * 2020-12-16 2021-01-15 南京集成电路设计服务产业创新中心有限公司 一种基于有向图的连接线表示方法
CN113255284A (zh) * 2021-05-30 2021-08-13 上海立芯软件科技有限公司 全局布线中快速局部拆线重布方法
CN113255284B (zh) * 2021-05-30 2023-07-18 上海立芯软件科技有限公司 全局布线中快速局部拆线重布方法

Also Published As

Publication number Publication date
CN104239600B (zh) 2017-05-03

Similar Documents

Publication Publication Date Title
CN104239600A (zh) 基于多商品流的大规模集成电路详细布线方法
WO2021082867A1 (zh) 偏差驱动的总线感知总体布线方法
CN104683488B (zh) 流式计算系统及其调度方法和装置
CN105049353B (zh) 一种为业务配置路由路径的方法及控制器
CN103763174B (zh) 一种基于功能块的虚拟网络映射方法
CN105634974B (zh) 软件定义网络中的路由确定方法和装置
CN102622468A (zh) 基于并行计算的大规模集成电路通道布线的方法及其系统
CN104636530B (zh) 设计用于半导体器件制造的布局的系统和方法
CN104063558A (zh) 基于线性规划的大规模集成电路通道布线方法
CN103793547A (zh) 具有多图案化要求的集成电路的层级设计
Zamani et al. A novel approach for service function chain (SFC) mapping with multiple SFC instances in a fog-to-cloud computing system
JPH0644596B2 (ja) Cmosセル・レイアウトの形成方法
US9245076B2 (en) Orthogonal circuit element routing
CN107017246A (zh) 确定电路的电迁移em合规性的方法
CN112183007B (zh) 一种多个fpga的设计分割方法
CN108647900A (zh) 一种应用于水文模拟领域的区域划分方法
Sahu et al. Application mapping onto mesh-of-tree based network-on-chip using discrete particle swarm optimization
TWI623844B (zh) 適用於混合模組之平面規劃方法
Ali et al. The static performance effect of hybrid-hierarchical interconnection by shifted completely connected network
CN105989197B (zh) 基于sat算法的时钟树布线方法
US10839122B1 (en) Automatic layer trait generation and promotion cost computation
CN103902772A (zh) 基于交错型引脚结构的等长差分对逃逸布线方法
Nakatani et al. A length matching routing algorithm for set-pair routing problem
Wybrow et al. Orthogonal hyperedge routing
Salleh et al. Single-row transformation of complete graphs

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170503

Termination date: 20180708

CF01 Termination of patent right due to non-payment of annual fee