CN102063536A - 基于模式匹配的电源/地线网络与布图规划的协同设计方法 - Google Patents

基于模式匹配的电源/地线网络与布图规划的协同设计方法 Download PDF

Info

Publication number
CN102063536A
CN102063536A CN 201010608455 CN201010608455A CN102063536A CN 102063536 A CN102063536 A CN 102063536A CN 201010608455 CN201010608455 CN 201010608455 CN 201010608455 A CN201010608455 A CN 201010608455A CN 102063536 A CN102063536 A CN 102063536A
Authority
CN
China
Prior art keywords
power
module
msub
mrow
node
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 201010608455
Other languages
English (en)
Other versions
CN102063536B (zh
Inventor
马昱春
周强
蔡懿慈
李佐渭
王晓懿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tsinghua University
Original Assignee
Tsinghua University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University filed Critical Tsinghua University
Priority to CN2010106084553A priority Critical patent/CN102063536B/zh
Publication of CN102063536A publication Critical patent/CN102063536A/zh
Application granted granted Critical
Publication of CN102063536B publication Critical patent/CN102063536B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Supply And Distribution Of Alternating Current (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

基于模式匹配的电源/地线网络与布图规划的协同设计法属于集成电路计算机辅助设计领域,其特征在于:是一种首先创建一个电源/地线网络模式表,将预先建立的112种网格形式的重要信息数据存放在该表中,然后对于给定的一个版图,可以从已经建立好的电源/地线网络模式表中根据一定的模式选择机制选择适当的电源/地线网络,同时采用电源/地线网络的增量式布图规划方法,达到电源/地线网络与布图规划的有效协同设计的方法,它具有快速,易于扩展的优点,可扩大能够处理的芯片的规模。

Description

基于模式匹配的电源/地线网络与布图规划的协同设计方法
技术领域
基于模式匹配的电源/地线网络与布图规划的协同设计方法属于集成电路计算机辅助设计领域,尤其涉及布图规划处理领域。
背景技术
随着设计复杂性和电路规模的日益增长,层次化设计和IP模型都得到了广泛的应用。在这种发展趋势之下,版图设计对VLSI设计的质量起到了至关重要的作用。而布图规划又是版图设计中一个很重要的步骤,所以布图规划的优化问题也成为了一个重要的研究热点。随着SOC设计的不断发展,许多研究者们根据性能和可靠性的特殊需求,在布图规划设计时增加了一些模块间的位置约束。比如,两个模块之间有很强的互联关系,这就产生了邻接约束;在基于Bus的布线过程中有些模块需要垂直对齐的放置在芯片的中央,这就产生了对齐约束;还有一个很常见的约束就是整个芯片的每一个模块都必须放置在一个给定的边框内,这就是固定边框约束;有些具有一定功能的模块需要与I/O连接或者发热量比较大,这就产生了边缘约束。还有对称约束在模拟器的设计中也常常被提及,这些约束在布图规划设计中占有很重要的地位。由此可见,现代布图规划问题已经慢慢从传统的布图规划问题向具有各种约束的布图规划问题转变。
就物理设计阶段而言,目前的电源/地线网络主要集中在布图规划和布线阶段。种种实验结果表明,电源/地线网络在VLSI设计中依然存在诸多问题。在SOC设计过程中,我们也发现电性能约束也越来越多的在布图规划的早期阶段被考虑。电源/地线网络是为整个版图中的每个模块分配供电电压的。如果在后续的版图验证过程中发现某个模块的供电电压低于其最小需求电压,则整个芯片必须重新设计。这种时间花费是完全不可取的,所以电性能的相关约束越早考虑越好。
目前,在集成电路物理设计中有一些比较优秀的布局表示方法,例如在处理布图规划设计时增加了固定边框约束。它是根据所有模块的大小粗略估计了一个可行的固定边框的大小。在整个设计过程中,设计者们都要保证所有的模块必须放置在这个固定边框的区域内。电源/地线网络与布图规划的协同设计已经成为提高电性能的一个重要途径。所以,如何设计一个优秀的电源/地线网络来满足布图规划中产生的多种多样的版图是一个讨论很广泛的问题。而布局的结果对电压降的影响是很大的,所以我们有必要将改变线宽的操作嵌入到布图规划过程中,这样得到的效果往往会更好。许多研究者根据其性能和可靠性的特殊需求,在布图规划阶段增加了一些特定的约束使得整个设计更加符合实际的要求。对电源/地线网络与布图规划设计协同设计进行了深入的研究,简单描述如下:
首先构建了一个模式选择机制,它有效的提高了整个优化流程的效率。考虑到电源/地线网络资源的优化,提出了一个合理分配电源/地线引脚和改变线宽的方法,把它们嵌入到整个优化过程中。实验结果证明了的方法不仅提高了优化流程的效率,也在保证版图解的质量的前提下,优化了电源/地线网络的绕线资源。
发明内容
本发明的目的在于提出一种比迄今为止该领域的其它方法更为稳定、高效,且能够在电源/地线网络与布图规划设计协同设计进行了深入的研究的工具。在电源/地线网络和布图规划协同设计的研究中,采用了模式选择的方法提高了优化流程的效率。考虑到电源/地线网络的协同优化,也提出了电源/地线引脚的分配方法和改变电源线线宽的方法优化电源/地线网络的绕线资源。
基于模式匹配的电源/地线网络与布图规划的协同设计法,其特征在于:在计算机中是按照如下步骤实现的:
步骤(1).计算机读入初始版图信息和约束文件,该约束文件包括三种电性能约束:
(I).电压降约束:
模块k每个电源引脚上的电压要大于或等于从电源线上获取电压的最小值Vmin,k,模块k的每个地线引脚上的电压要小于或等于从地线上获取电压的最大值Vmax,k;,
(II).最小线宽约束:
在电源/地线网络上连接相邻两个结点n1和n2的分支b的宽度必须大于一个最小的宽度值:
w b = ρ · l b · I b V b ≥ w b , min , 其中,
b是分支序号,wb是分支b的宽度,wb,min是分支b的最小宽度,lb是分支b的长度,
Ib是分支b上的电流,ρ是电阻率,Vb是分支b上的电压,
(III).电迁移约束,即最大电流密度约束,表示为:
|Vn1-Vn2|≤ρ·lb·σ,其中,
Vn1,Vn2分别为所述两个相邻结点n1和n2上的电压,σ是工艺所允许的最大电流密度;
步骤(2).创建包含112种模式的电源/地线网络模式表,包括7种不同长宽比的Mesh网格,所述长宽比分别是1∶3,1∶2,1∶1,1.5∶1,2∶1,2.5∶1和3∶1,在每种长宽比确定的前提下,Mesh网格的密度包括3×3,4×4,...,17×17,18×18共16种选择,其中,网格密度为MxM的Mesh网格表示将长和宽分别M等分后建立的网格,
其中,每个电源/地线网络表示为G={N,B},N为结点数,N={1,2,3,...,n,...,N},B表示分支数,B={1,2,3,...,b,...,B},每一个分支b连接两个相邻结点n1,n2,每个分支b的电阻值Rb=ρ·lb/wb,两个电源分别放在左下角和右上角;
步骤(3).根据输入的版图信息,构造初始的二叉树B*-Tree:
版图中左下角的模块对应于所述B*-Tree中的根结点,从所述左下角模块出发,用箭头所表示的分支指向的模块称为子模块,在版图上从同一个模块出发,用箭头所指向的左、右相邻的两个子模块在所述B*-Tree上为两个右、左相邻的两个子结点,
根结点为第零层,以下各子结点的层次逐层加1,
设置温度T=T0,所述温度T为模拟退火算法中的基本要素,T0为设定的初始温度,
设置计数器counter=0;
步骤(4).从步骤(2)中建立的电源/地线网格模式表中选择长宽比的归一化值与该版图的长宽比的归一化值最接近的网格模式来满足给定的版图,同时将所选择的模式根据版图的长和宽依照设定的比例进行缩放以保证电源/地线网络能刚好覆盖住版图,之后选择最小的3×3的网格密度;
步骤(5).电源地线网络引脚分配:
1)分别计算出每个模块到两个供电电源之间的距离,模块到电源的距离即为模块的中心坐标到电源坐标的距离,
2)分别分配距离供电电源最远的模块的电源引脚和地线引脚,然后沿左下角方向依次分配直到相关模块的引脚分配完成,
3)返回到所述距供电电源最远的模块,向右上角方向未分配引脚的模块依次分配引脚;
步骤(6).构造电源/地线网络的静态分析模型GV=I,其中,G是电阻的电导矩阵,V表示的是结点电压向量,I是结点电流向量,向量I和V的维数等于电源/地线网络中的结点个数,利用ICCG算法求解各结点的电压V=G-1I;
步骤(7).根据步骤(6)的分析结果,如果存在违反约束的模块,即存在模块违反步骤(1)中所描述的约束条件,则根据下述方程进行线宽优化:
W idth _ fact = Worst _ IR T arg et _ IR , 其中,
Width_fact表示的是在改变线宽操作之后的电源/地线网络的电源线宽,Worst_IR表示当前最大的电压降,Target_IR指的是允许的最大电压降,如果Width_fact>1,则以步长α·Width_fact增加电源线的宽度,α为设定的增量因子,1<α<10,转步骤(6),如果Width_fact<1,减小电源线宽以降低布线资源,转步骤(6),如果增加的线宽达到最大线宽但仍然存在不满足约束的模块,增大一个Mesh网格密度,转步骤(5);
步骤(8).如果所有的网格密度都不能消除违反约束的模块,则按照如下步骤增量式改进布图结构:
步骤(8.1).利用违反约束的模块的坐标分别计算出该模块与所述两个供电电源的距离,从其中选择一个距离较小的供电电源,再以距离这个距离较小的供电电源最近的模块作为零层次,重新按逐层加1的方法确定各个模块的层次,
步骤(8.2).在所述B*-Tree二叉树上进行如下增量式操作:
选择一个比所述违反约束的模块所对应的结点在层次上更低的其他结点与这个对应着违反约束的模块的结点进行交换,或者,
选择一个结点作为对应于违反约束模块的结点的父结点,以取得比该违反约束的模块对应的结点的原始位置所在的层次更低,
步骤(8.3).转步骤(10);
步骤(9).若存在某个网格密度能消除所有违反约束的模块,对版图结构进行扰动,在其对应的B*-Tree上按设定的交换次数随机交换两个结点,或者按设定的移动次数随机移动一个结点来对应地改变版图布局以产生新解;
步骤(10).利用如下代价函数评价所得解:
Figure BSA00000400699700041
其中,
A(s)表示版图的面积,W(s)表示总的互连线长,Ap(s)表示用于电源/地线网络的绕线资源,
Figure BSA00000400699700042
表示的是针对电压降约束和电子迁移约束的惩罚函数,λi为归一化常数,即 &Sigma; k = 1 4 &lambda; k = 1,0 < &lambda; k < 1,1 &le; k &le; 4 ;
给定一个版图s,AP(s)按下式计算:
A p ( s ) = &Sigma; b = 1 B l b &times; w b , 其中,lb为分支b的长度,wb为分支b的宽度;
Figure BSA00000400699700045
按下式计算:
Figure BSA00000400699700046
其中,
Figure BSA00000400699700047
对于电源网上的结点n,
Figure BSA00000400699700048
对于地网上的结点n,
Figure BSA00000400699700049
其中,结点n所对应的电源或地线引脚属于模块k,结点n1和n2为分支b的两个端点,vn1和vn2分别为n1和n2上的电压,vn为结点n所对应的引脚上的电压值;
步骤(11).利用步骤(10)中的公式计算新解ωnew,将所述新解和前counter次迭代次数计算出来的最优解ωbest比较:
若counter=0,ωbest=ωnew
否则,如果ωnew<ωbest,则ωbest=ωnew,如果ωnew≥ωbest,则按照概率使ωbest=ωnew
步骤(12).计数器counter加1,若counter达到设定的迭代次数C,保存该C次循环过程中的最优值ωbest,否则转步骤(4),
步骤(13).温度T=β·T,0<β<1,若T≤Tmin,其中Tmin为设定的最小温度,则程序结束,从所得的一组最优值中选取最小的值即为所得最优解,否则,counter=0,转步骤(4)。
试验说明:本发明使模式选择机制得到发挥,不仅提高了优化流程的效率,也在保证版图解的质量的情况下,优化了电源/地线网络的布线资源。
附图说明
图1,版图与B*-Tree的对应关系:
(a)所示为由12个模块组成的版图;
(b)所示为该版图对应的B*-tree结构。
图2,电源/地线网络:
(a)所示为一个版图对应的电源/地线网络被划分为多个区域;
(b)所示为一个电源/地线网络和它等效的电路模型。
图3,电源/地线网格模式表。
图4,调整电源/地线网格模式的大小:
(a)所示为原图大小;
(b)所示为根据版图放大的网格图。
图5,引脚与电源线的连接:
(a)所示为一个模块中电源/地线引脚的两个可行的放置位置;
(b)所示为多个引脚和一个电压节点连接。
图6,版图的表示法:
(a)所示为一个合理的版图;
(b)所示为(a)所对应的B*-tree表示法以及leftbottom_level表示法;
(c)所示为(a)所对应的B*-tree表示法以及topright_level表示法。
图7,协同设计算法流程。
具体实施方式:
步骤(1)计算机读入初始版图信息和约束文件,该约束文件包括三种电性能约束:
a.电压降约束,对模块k的每一个电源引脚pi都要满足:该引脚上的电压值Vpi大于或等于该模块需要从电源线上获取电压的最小值Vmin,k,对模块k的每一个地线引脚pi都要满足Vpi小于或等于该模块需要从电源线上获取电压的最大值Vmax,k;
b.最小线宽约束,电源/地线网络上一个连接节点n1和n2的分支b的宽度必须大于给定的最小宽度值;
c.电迁移约束,即最大电流密度约束,用公式表示为:
|Vn1-Vn2|≤ρ·lb·σ,
其中,Vn1,Vn2分别为节点ni1和ni2上的电压,lb为连接节点n1和n2的分支b的长度,
ρ为电阻率,σ是工艺所允许的最大电流密度;
步骤(2)创建包含112种模式的电源/地线网络模式表,包括7种不同长宽比的Mesh网格,这7种长宽比分别是1∶3,1∶2,1∶1,1.5∶1,2∶1,2.5∶1和3∶1,在每种长宽比确定的前提下,Mesh网格的密度又包括3x3到18x18共16种选择,其中网格密度为MxM的Mesh网格表示将长和宽分别M等分后建立的网格;
步骤(3)根据输入的版图信息初始化B*-Tree,B*-Tree是一种二叉树,构建一个与版图对应的B*-Tree的过程和深度优先搜索过程相似,若结点nj是结点ni的左孩子,则模块bj在模块bi的右侧且与bi相邻;若结点nj是结点ni的右孩子,则模块bj在模块bi的上方且与bi相邻,同时bi与bj的x坐标相同,
初始化温度和计数器作为迭代的初始条件;
步骤(4)从步骤(2)中建立的电源/地线网格模式表中选择长宽比与该版图接近的网格模式来满足给定的版图,同时将所选择的模式根据版图的长和宽依照一定的比例进行缩放以保证电源/地线网络能刚好覆盖住版图,之后选择最小的3x3的网格密度;
步骤(5)电源地线网络引脚分配
4)分别计算出每个模块到两个供电电源之间的距离,两个供电电源分别放在电源/地线网的左上角和右下角,模块到电源的距离即为模块的中心坐标到电源坐标的距离;
5)分别分配距离供电电源最远的模块的电源引脚和地线引脚,然后沿左下角方向依次分配直到相关模块的引脚分配完成;
6)返回到距离供电电源最远的模块,向右上角方向依次分配;
步骤(6)构造电源/地线网络的静态分析模型GV=I,其中,G是电阻的电导矩阵,V表示的是节点电压向量,I是节点电流向量,向量I和V的维数等于电源/地线网络中的节点个数,利用ICCG算法求解各节点的电压V=G-1I;
步骤(7)根据步骤(6)的分析结果,如果存在违反约束的模块,既存在模块违反步骤(1)中所描述的约束条件,则根据下述方程进行线宽优化:
Width _ fact = Worst _ IR T arg et _ IR
其中,Width_fact表示的是在改变线宽操作之后的电源/地线网络的电源线宽,Worst_IR表示当前最大的电压降,Target_IR指的是允许的最大电压降,如果Width_fact>1,则根据Width_fact的值以步长α·Width_fact增加电源线的宽度,α为增长因子,转步骤(6),如果Width_fact<1,减小电源线宽以降低布线资源,转步骤(6),如果增加的线宽达到最大线宽但仍然存在不满足约束的模块,增大一个Mesh网格密度,转步骤(5);
步骤(8)如果所有的网格密度都不能消除违反约束的模块,则按照如下步骤增量式改进布图结构:
1)利用增量式操作对违反约束的节点进行移动,其中用到的增量式操作包括:
A.选择一个违反约束的节点与比其层次低的其它节点交换;一个结点的层次表示为它离根节点的距离,B*-Tree上根节点的层次是0,其直接孩子结点的层次是1,依此类推,
B.选择一个节点作为违反约束节点的父节点,但是要保证在此节点插入后,其所在的层次要比其原始位置所在的层次低;
2)转步骤(10);
步骤(9)若存在某个网格密度能消除所有违反约束的模块,对版图结构进行扰动,即在其对应的B*-Tree上多次随机交换两个节点,或者随机移动一个节点来对应地改变版图布局以产生新解;
步骤(10)利用如下代价函数评价所得解:
其中A(s)表示版图的面积,W(s)表示总的互连线长,Ap(s)表示用于电源/地线网络的绕线资源,
Figure BSA00000400699700072
表示的是针对压降和电子迁移约束的惩罚函数,λi为归一化常数;
步骤(11)采用现有的模拟退火算法更新所得解,若不满足模拟退火算法的结束条件,转至步骤(4)。
首先,我们创建一个电源/地线网络模式表,读取电源网表和电源约束表,初始化B*-Tree,形成一个包含m个模块的版图,我们可以从已经建立好的电源/地线网络模式表中根据一定的模式选择机制选择适当的电源/地线网络。在确定好对应的电源/地线网络之后,我们采用了有效的电源/地线引脚分配的算法,确定了电流源的位置。接着,我们评估每一个模块的电压降。如果一个模块违反了电压降约束,我们将在一定的范围内增加电源/地线网络上相应的线宽。同时,当某个节点上的最大电压降小于能够允许的最大电压降,我们可以缩小该对应段的线宽,以便最小化电源/地线网络的绕线资源。如果通过线宽的调整仍然存在模块不满足约束,我们则需要重新选择其它的网格模式。在模拟退火迭代过程中同时也增加基于电源/地线网络的增量式移动方法。整个优化流程直到终止条件满足才停止。目标是获得一个比较优秀的布图结果并且同时产生一个相对应的电源/地线网络使得其在满足所有相关电性能约束的前提下绕线资源最少。它含有以下过程:
1.给定一个版图,我们可以采用B*-Tree表示法来表示。B*-Tree结构是一种二叉树。它的根节点是其对应版图的左下角模块。构建一个与版图对应的B*-Tree的过程和深度优先搜索过程相似:从根节点开始,我们首先构建它的左子树再构建它的右子树。Ri表示的是放置在模块bi右边并且和它相邻的模块集合。ni的左孩子对应的模块是在Ri中还没有遍历的最下方的模块。ni的右孩子是在bi上方并且和它相邻的模块,且xi=xj。图1(a)所示为由12个模块组成的版图,图1(b)所示为该版图对应的B*-tree结构。
2.构建电源/地线网络,我们用G={N,B}表示一个电源/地线网络,其中含有n个节点,即N={1,2,...,n}和b个分支,即B={1,2,...,b}。每一个在B中的分支b连接n1和n2两个节点,并且有电流从n1流向n2。我们用lb和wb分别表示分支b的长度和宽度。用ρ表示电阻率,Vni(Ini)表示节点ni的电压(电流)值。每一个分支b的电阻值为Rb,它可以通过公式Rb=ρ·lb/wb计算得出。在电压分析的早期阶段,我们需要一个有效的分析方法来分析电源/地线网络。
构建电源/地线网络之后,考虑了以下三种电性能约束:
a.压降约束
对于每一个电源/地线引脚pi,它对应的电压值Vpi必须满足以下约束:
1)对模块k的每一个电源引脚i都要满足Vpi≥Vmin,k
2)对模块k的每一个地线引脚i都要满足Vpi≤Vmax,k
其中Vmin,k(Vmax,k)是指模块k需要从电源/地线网络上获取电压的最小值(最大值)。
b.最小线宽约束
在电源/地线网络上一个连接节点ni1和ni2的分支bi的宽度必须大于一个最小的宽度值。该约束可以描述为以下方程式:
w b = &rho; &CenterDot; l b &CenterDot; I b V b &GreaterEqual; w b , min - - - ( 1 )
其中wb,min是一个给定的约束值。
c.电子迁移约束
|Vn1-Vn2|≤ρ·lb·σ                     (2)
其中σ是工艺所允许的最大电流密度。
求解电源/地线网络与布图规划协同设计问题的目标函数如公式(3)所示,它包含四项,其中A(s)表示版图的面积,W(s)表示互连线长,Ap(s)表示用于电源/地线网络的绕线资源,φ(s)表示针对电压降和电子迁移约束的惩罚函数,λi为归一化常数。
Figure BSA00000400699700082
A(s)表示版图的面积,W(s)表示总的互连线长,Ap(s)表示用于电源/地线网络的绕线资源,
Figure BSA00000400699700083
表示的是针对电压降约束和电子迁移约束的惩罚函数,λi为归一化常数,即 &Sigma; k = 1 4 &lambda; k = 1,0 < &lambda; k < 1,1 &le; k &le; 4 ;
给定一个版图s,AP(s)按下式计算:
A p ( s ) = &Sigma; b = 1 B l b &times; w b , - - - ( 4 )
其中,lb为分支b的长度,wb为分支b的宽度;
Figure BSA00000400699700092
按下式计算:
Figure BSA00000400699700093
其中, y b = 0 , &rho; l b &sigma; > | v n 1 - v n 2 | &rho; l b &sigma; - | v n 1 - v n 2 | , &rho; l b &sigma; &le; | v n 1 - v n 2 | , - - - ( 6 )
对于电源网上的结点n, x n = 0 , v n &GreaterEqual; v min , k v n - v min , k , v n < v min , k , - - - ( 7 )
对于地网上的结点n, x n = 0 , v n < v max , k v n - v max , k , v n &GreaterEqual; v max , k , - - - ( 8 )
其中,结点n所对应的电源或地线引脚属于模块k,结点n1和n2为分支b的两个端点,vn1和vn2分别为n1和n2上的电压,vn为结点n所对应的引脚上的电压值;
3.电源/地线网络分析
(1).电源/地线网络结构:在布图规划阶段,为了便于评估一个版图对应的电源/地线网络的性能,我们构造出了一种理想化的电源/地线网络,如图2(a)所示。采用均匀网格来描述电源/地线网络。同时,为了减小计算的复杂度,我们可以假定所有的电流源都是和与其最近的水平与垂直电源线的交叉点连接。方便起见,我们通常将一个版图划分为n个区域,其中n是节点数,如图2(a)所示。区域的边界线是两个节点的中线,这样这个节点就与这个区域中的任何一个点都是最近的。
(2).电源/地线网络分析:当我们已知一个电源/地线网络时,我们就要根据布图结果分析该电源/地线网络上各节点上的电压值。将整个电源/地线网络当作一个电阻模型,并采用最大电流源的静态法进行分析。如图2(b)所示,该芯片是由四个模块组成。电源/地线网络上的铜线被看作是电阻。模块上的电源/地线引脚可以看作是电流源。所以,一个电源/地线网络的静态分析模型可以表示成下列方程式:
GV=I                   (9)
其中,G是电阻的电导矩阵,V表示的是节点电压向量,I是节点电流向量。向量I和V的维数等于电源/地线网络中的节点个数。所以,我们可以采用等式(10)解出每个节点的电压值。一旦获得了每个节点的电压值,我们就能很容易的评估出每一个电源/地线引脚的电压值。
V=G-1I                (10)
(3).电源/地线网格模式:从等式(9)中我们可以知道,计算电源/地线网络中的电阻的电导矩阵是一个重点,也是算法效率的一个瓶颈。给定一个电源/地线网络,则电导矩阵是不会改变的。计算出G-1来,能很容易的获得该电源/地线网络中每个节点的电压值。电压降也可以很容易求出来。我们在布图规划之前计算出G-1的值,关键问题就是在版图产生之前,怎么决定电源/地线网络的大小。我们根据经验构建一些模型范围是[1∶3,3∶1],如图3所示。我们创建了16种电源/地线网格,它们的大小从3*3到18*18。此外,我们提出了6种不同方向比的电源/地线网络作为选择,例如:1∶3,1∶2,1∶1,1.5∶1,2∶1,2.5∶1和3∶1。我们创建了一个记录每个电源/地线网络模式重要信息的表格。因此,我们提供了112种模式进行选择。每一种电源/地线网络模式都能按比例伸缩与可行的版图保持一致。同样,一旦我们获得一个版图,我们就可以根据它的方向比从表中选择一个适当的电源/地线网络模式。这样能够有效的缩短电源/地线网络的分析时间。
4.模式选择
给定一个版图,我们首先要在电源/地线网格模式表中选择方向比与其最接近的网格模式来满足给定的版图。但是,很多时候它们的长和宽都不是一致的。所以,我们需要将所选择的模式根据版图的长和宽依照一定的比例进行适当的缩放。如图4(b)所示,虚线表示的是在电源/地线网络网格表中的网格,实线表示的是根据版图放大的网格。通常,电源/地线网络都要略微的大于版图。
当我们将电源/地线网络缩放k倍之后,电导矩阵G是同比例变化的。所以,我们所要求的电导矩阵的逆矩阵G-1也是同比例变化的。因此,给定一个版图,我们就可以选择一个适当的电源/地线网络来与之对应,并将其适当缩放。当电源/地线网络的宽和高都扩大k倍时,每一段电阻都将扩大k倍。所以电导矩阵G为原来的1/k。根据矩阵运算的相关知识,我们可以知道G-1将扩大k倍。在分析过程中调整电源/地线网络的大小并不会影响整个优化流程的效率,同时,我们也能为每一个版图找到其对应的电源/地线网络。
在模式选择过程中,我们首先确定网格模式的方向比。该方向比要与给定的版图基本保持一致。在一定方向比的条件下,为了获得最小的绕线资源,我们首先选择3*3的网格。然后,我们利用选择的网格的相关信息进行电压降和电子迁移约束的评估。如果在改变线宽操作之后仍然有模块不满足约束,我们将依次选择相等方向比的其它网格。
5.电源/地线网络引脚分配
在VLSI设计的早期,每个模块的电源/地线引脚都还是不固定的。在布图规划和电源/地线网络协同设计过程中,电源/地线引脚的位置将在很大程度上影响到整个芯片的电压降。假定每一个模块只有一个电源/地线引脚。提出了一个有效的分配电源/地线引脚的算法,它可以将电源/地线引脚均匀合理的分配在供电电源的周围。
在图5(a)中,它表示了模块1中的电源/地线引脚的两个可行的位置。它们连接电源/地线网络上不同的节点。当模块1的电源/地线引脚像p1一样放置在左下角,它将和供电电压节点连接,其压降几乎为0。但是如果将其电源/地线引脚像p2那样放置在右上角,则该模块将会有很大的电压降。还有些情况,很多电源/地线引脚连接到同一个节点上,如图5(b),这样就会增加该处的负载电流,同时增加电压降。所以,我们要将电源/地线引脚合理均匀的分配在供电电源附近。
根据已选择的电源/地线网络的区域信息,我们能分别计算出每个模块到两个供电电源之间的距离。当一个模块与左下角的供电电源接近时,我们把它的电源/地线引脚分配到离左下角接近的地方,反之依然。因为压降最严重的区域一般都是在芯片的中央,所以我们首先分配距离供电电源最远的模块的电源/地线引脚。然后向左下角方向依次分配直到相关模块全部分配完成,然后再返回到中心,向右上角方向依次分配。如图5所示,我们首先处理模块K2并决定其电源/地线引脚的位置。我们选择一个靠近左下角的节点与模块K2连接。接着我们依次处理K1,K3以及K4。当我们选择的节点已经被其他电源/地线引脚占有时,我们将选择其附近的可以连接的节点。这样电源/地线引脚就能很均匀的分配完毕,避免产生图5(b)所示的情况。
6.基于电源/地线网格变线宽法
通过引脚分配算法固定了每个模块的电源/地线引脚后,我们就能计算出所有节点上的电压,也就很容易找出哪些节点违反了电压降约束。我们采用变线宽的方法在一定程度上能够修复电压降约束。当所有的节点都满足它们的电压降约束时,我们可以在一定范围内减少电源线的宽度,使得整个电源/地线网络的绕线资源最小。如下列方程所示:
Width _ fact = Worst _ IR T arg et _ IR - - - ( 11 )
Width_fact表示的是在改变线宽操作之后的电源/地线网络的电源线宽。增加电源/地线网络的电源线宽能够减少电压。所以电压降也会有所减少。相反,如果使电源线的宽度变小,则会增加电压降。Width_fact是在一定的范围内改变的,这个范围一般是由特定的电约束文件给出的。Worst_IR表示当前最大的电压降。Target_IR指的是允许的最大电压降。当Worst_IR=Target_IR时,我们不需要调整。如果Width_fact>1,则表示电源/地线网络不能满足电压降约束,所以我们要在一定范围内根据Width_fact的值增加电源线的宽度,如增加α·Width_fact,α为增量因子,可以选为1.5。当Width_fact<1时,说明该电源/地线网络能提供足够的电压。这时,我们可以减小电源线宽使得电源/地线网络的绕线资源最少。该变线宽方法可以在满足电压降和电子迁移约束的情况下最小化绕线资源。如果通过增大电源线宽仍然存在不满足约束的模块,那么我们需要重新选择更大的电源网格进行计算评估。
7.基于电源/地线网络的增量式方法
从B*-tree构造法我们可以看出,节点的层次越低,其对应的模块在拓扑结构上越接近于左下角的模块。如图6所示,模块1和模块5处于对应的B*-tree的第一层。他们距离左下角的模块比处在第二层的模块2,6和9要更近一些。同时,因为另一个供电电源放置在右上角上。而右上角的模块处于对应的B*-tree的最深层,例如图6中的模块12。为了表示出其距右上角的拓扑距离,我们可以将B*-tree中的层次反转。因此,我们提出了两种层次的表达方式,它们分别为leftbottom_level表示法和topright_leve l表示法。我们可以层次遍历B*-tree从而得到leftbottom_level表示法,如图6(b)所示。如图6(c)所示,我们按照从叶子层到根部所在层的遍历顺序遍历B*-tree从而得到topright_level表示法。根据这两种层次表示法,我们可以看出,那些模块对应的节点处在比较低的层次上时,这些模块都距离对应的供电电源更近一些。
众所周知,最终的版图不仅取决于B*-tree的结构,也受到模块大小的影响。因此,我们首先要利用违反约束的模块的坐标分别计算出它与两个供电电源的距离。为了修复违反约束的节点,我们通常是将其向最近的供电电源一步步的移动,相对应的层次信息将指导它的移动方向。例如,当左下角的供电电源与违反约束的模块距离较小,我们将利用leftbottom_level表示法的信息移动这个违反约束的节点。此外,在优化过程中,我们提出了以下两个增量式的操作:
增量式操作1:选择一个违反约束的节点与比其层次低的其它节点交换;
增量式操作2:选择一个节点作为违反约束节点的父节点,但是要保证在此节点插入后,其所在的层次要比其原始位置所在的层次低。
例如图6(a)所示,如果n3违反了电压降约束,则我们可以看出,左下角的供电电源比较接近模块3。所以,我们将根据leftbottom_level表示法的信息进行增量式操作1或者增量式操作2。如果我们进行增量式操作1的操作,我们可以选择比模块3所处层次低的节点做交换,比如:n0,n1,n5等等。如果我们做增量式操作2的操作,我们首先要删除节点n3,同时选择n0,n1或者n5作为它的父亲节点。当完成该操作之后,n3将在层次1或者层次2上。
同理,如果n10违反了电压降约束,我们首先要算出模块10到两个供电电源的距离。从结果可知,模块10距离右上角的供电电源的距离较小,因此,我们利用topright_level表示法的信息进行增量式的操作。当采用增量式操作1时,我们选择n4,n8,n11或者n12与n10进行交换。如果我们进行增量式操作2的操作,我们首先要删除节点n10,然后选择n4,n8,n11或者n12作为它的父亲节点。此时,n10将在层次0或者层次1上了。
当检测出某些模块违反约束时,这两种增量式的操作可以有效的指导我们将其修复,它比随机扰动产生合法解的概率要大很多。所以,本文采用这种基于电源/地线网络的增量式布图规划方法,在保证解空间的前提下,提高了搜索的效率。
7.模拟退火算法基本思想
模拟退火算法是基于Metropolis准则迭代求解法的一种启发式随机搜索算法,Metropolis准则可以用以下形式描述:
假设在状态xold时,系统受到了某种扰动而使其状态变为xnew。与此相对应,系统的能量也从E(xold)变成E(xnew),系统由状态xold转变为状态xnew的接受概率p通过公式(12)求得。
p = 1 if E ( x new ) < E ( x old ) exp ( - E ( x new ) - E ( x old ) T ) if E ( x new ) &GreaterEqual; E ( x old ) - - - ( 12 )
模拟退火算法是从初始解和温度控制参数的初始值T0开始。在大量的迭代过程中,对当前解不停的进行“产生新解”→“计算目标函数差”→“接受或舍弃”的操作,并且在每一次迭代完成之后都以一定的规律降低温度T的值。当该算法终止时,当前解就是所要求的最优解或准最优解。退火的过程由冷却进度控制,它包括温度控制参数的初始值T0及其衰减因子Δt、每个T(i)值时的迭代次数count和停止条件term_condition。
模拟退火算法的基本流程如下面的伪代码所示:
1)随机产生一个初始解x0,令xbest=x0,并计算目标函数值E(x0);
2)设置初始温度T(0)=T0,迭代次数i=1;
3)Do while T(i)>Tmin
1)For j=1~k
2)对当前最优解xbest按照某一领域函数,产生一新的解xnew
3)计算新的目标函数E(xnew),并计算目标函数值的增量ΔE=E(xnew)-E(xbest)
4)如果ΔE<0,则xbest=xnew
5)如果ΔE>0,则p=exp(-ΔE/T(i));
1)如果c=random[0,1]<p,xbest=xnew;否则xbest=xbest
6)End for
4)i=i+1;
5)End Do
6)输出当前最优点,计算结束
本方法采用模拟退火作为整个算法框架,内循环执行次数C可取400,外循环T的下降规则为T=0.5*T。每次新解的产生都是按照公式(3)计算的。
本方法是在配置为Intel Core(TM)2Duo Processor,2.5GHz,2G RAM的计算机上采用C++语言实现的。实验的相关参数为:两个金属层之间的电阻率为0.075Ω/m2。对于电源引脚而言,Vmin为2.25v,对于地线引脚而言Vmax=0.25v,最大能容许的电压降为250mv。在整个实验过程中,我们假定版图有两个供电电源,它们分别放置在左下角和右上角。每个模块的电源/地线引脚的吸纳电流是根据一定规律随机分配的。
本发明所述的针对电源/地线网络与布图规划的协同设计算法有以下几个优点:
(1).实现了一个模式选择机制,它有效的提高了整个优化流程的效率;
(2).基于电源/地线网络的增量式布图方法,可以有效的修复优化流程中产生的非法解;
(3).电源/地线引脚的分配方法和改变电源线线宽的方法优化电源/地线网络的绕线资源。

Claims (1)

1.基于模式匹配的电源/地线网络与布图规划的协同设计法,其特征在于:在计算机中是按照如下步骤实现的:
步骤(1).计算机读入初始版图信息和约束文件,该约束文件包括三种电性能约束:
(I).电压降约束:
模块k每个电源引脚上的电压要大于或等于从电源线上获取电压的最小值Vmin,k,模块k的每个地线引脚上的电压要小于或等于从地线上获取电压的最大值Vmax,k;
(II).最小线宽约束:
在电源/地线网络上连接相邻两个结点n1和n2的分支b的宽度必须大于一个最小的宽度值:
w b = &rho; &CenterDot; l b &CenterDot; I b V b &GreaterEqual; w b , min , 其中,
b是分支序号,wb是分支b的宽度,wb,min是分支b的最小宽度,lb是分支b的长度,
Ib是分支b上的电流,ρ是电阻率,Vb是分支b上的电压,
(III).电迁移约束,即最大电流密度约束,表示为:
|Vn1-Vn2|≤ρ·lb·σ,其中,
Vn1,Vn2分别为所述两个相邻结点n1和n2上的电压,σ是工艺所允许的最大电流密度;
步骤(2).创建包含112种模式的电源/地线网络模式表,包括7种不同长宽比的Mesh网格,所述长宽比分别是1∶3,1∶2,1∶1,1.5∶1,2∶1,2.5∶1和3∶1,在每种长宽比确定的前提下,Mesh网格的密度包括3×3,4×4,...,17×17,18×18共16种选择,其中,网格密度为MxM的Mesh网格表示将长和宽分别M等分后建立的网格,
其中,每个电源/地线网络表示为G={N,B},N为结点数,N={1,2,3,...,n,...,N},B表示分支数,B={1,2,3,...,b,...,B},每一个分支b连接两个相邻结点n1,n2,每个分支b的电阻值Rb=ρ·lb/wb,两个电源分别放在左下角和右上角;
步骤(3).根据输入的版图信息,构造初始的二叉树B*-Tree:
版图中左下角的模块对应于所述B*-Tree中的根结点,从所述左下角模块出发,用箭头所表示的分支指向的模块称为子模块,在版图上从同一个模块出发,用箭头所指向的左、右相邻的两个子模块在所述B*-Tree上为两个右、左相邻的两个子结点,
根结点为第零层,以下各子结点的层次逐层加1,
设置温度T=T0,所述温度T为模拟退火算法中的基本要素,T0为设定的初始温度,
设置迭代次数计数器counter=0;
步骤(4).从步骤(2)中建立的电源/地线网格模式表中选择长宽比的归一化值与该版图的长宽比的归一化值最接近的网格模式来满足给定的版图,同时将所选择的模式根据版图的长和宽依照设定的比例进行缩放以保证电源/地线网络能刚好覆盖住版图,之后选择最小的3×3的网格密度;
步骤(5).电源地线网络引脚分配:
1)分别计算出每个模块到两个供电电源之间的距离,模块到电源的距离即为模块的中心坐标到电源坐标的距离,
2)分别分配距离供电电源最远的模块的电源引脚和地线引脚,然后沿左下角方向依次分配直到相关模块的引脚分配完成,
3)返回到所述距供电电源最远的模块,向右上角方向未分配引脚的模块依次分配引脚;
步骤(6).构造电源/地线网络的静态分析模型GV=I,其中,G是电阻的电导矩阵,V表示的是结点电压向量,I是结点电流向量,向量I和V的维数等于电源/地线网络中的结点个数,利用ICCG算法求解各结点的电压V=G-1I;
步骤(7).根据步骤(6)的分析结果,如果存在违反约束的模块,即存在模块违反步骤(1)中所描述的约束条件,则根据下述方程进行线宽优化:
Width _ fact = Worst _ IR T arg et _ IR , 其中,
Width_fact表示的是在改变线宽操作之后的电源/地线网络的电源线宽,Worst_IR表示当前最大的电压降,Target_IR指的是允许的最大电压降,如果Width_fact>1,则以步长α·Width_fact增加电源线的宽度,α为设定的增量因子,1<α<10,转步骤(6),如果Width_fact<1,减小电源线宽以降低布线资源,转步骤(6),如果增加的线宽达到最大线宽但仍然存在不满足约束的模块,增大一个Mesh网格密度,转步骤(5);
步骤(8).如果所有的网格密度都不能消除违反约束的模块,则按照如下步骤增量式改进布图结构:
步骤(8.1).利用违反约束的模块的坐标分别计算出该模块与所述两个供电电源的距离,从其中选择一个距离较小的供电电源,再以距离这个距离较小的供电电源最近的模块作为零层次,重新按逐层加1的方法确定各个模块的层次,
步骤(8.2).在所述B*-Tree二叉树上进行如下增量式操作:
选择一个比所述违反约束的模块所对应的结点在层次上更低的其他结点与这个对应着违反约束的模块的结点进行交换,或者,
选择一个结点作为对应于违反约束模块的结点的父结点,以取得比该违反约束的模块对应的结点的原始位置所在的层次更低,
步骤(8.3).转步骤(10);
步骤(9).若存在某个网格密度能消除所有违反约束的模块,对版图结构进行扰动,在其对应的B*-Tree上按设定的交换次数随机交换两个结点,或者按设定的移动次数随机移动一个结点来对应地改变版图布局以产生新解;
步骤(10).利用如下代价函数评价所得解:
Figure FSA00000400699600031
其中,
A(s)表示版图的面积,W(s)表示总的互连线长,Ap(s)表示用于电源/地线网络的绕线资源,表示的是针对电压降约束和电子迁移约束的惩罚函数,λi为归一化常数,即 &Sigma; k = 1 4 &lambda; k = 1,0 < &lambda; k < 1,1 &le; k &le; 4 ;
给定一个版图s,AP(s)按下式计算:
A p ( s ) = &Sigma; b = 1 B l b &times; w b , 其中,lb为分支b的长度,wb为分支b的宽度;
Figure FSA00000400699600035
按下式计算:
Figure FSA00000400699600036
其中,
Figure FSA00000400699600037
对于电源网上的结点n,
对于地网上的结点n,
其中,结点n所对应的电源或地线引脚属于模块k,结点n1和n2为分支b的两个端点,vn1和vn2分别为n1和n2上的电压,vn为结点n所对应的引脚上的电压值;
步骤(11).利用步骤(10)中的公式计算新解ωnew,将所述新解和前counter次迭代次数计算出来的最优解ωbest比较:
若counter=0,ωbest=ωnew
否则,如果ωnew<ωbest,则ωbest=ωnew,如果ωnew≥ωbest,则按照概率
Figure FSA000004006996000310
使ωbest=ωnew
步骤(12).计数器counter加1,若counter达到设定的迭代次数C,保存该C次循环过程中的最优值ωbest,否则转步骤(4),
步骤(13).温度T=β·T,0<β<1,若T≤Tmin,其中Tmin为设定的最小温度,则程序结束,从所得的一组最优值中选取最小的值即为所得最优解,否则,counter=0,转步骤(4)。
CN2010106084553A 2010-12-17 2010-12-17 基于模式匹配的电源/地线网络与布图规划的协同设计方法 Expired - Fee Related CN102063536B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2010106084553A CN102063536B (zh) 2010-12-17 2010-12-17 基于模式匹配的电源/地线网络与布图规划的协同设计方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2010106084553A CN102063536B (zh) 2010-12-17 2010-12-17 基于模式匹配的电源/地线网络与布图规划的协同设计方法

Publications (2)

Publication Number Publication Date
CN102063536A true CN102063536A (zh) 2011-05-18
CN102063536B CN102063536B (zh) 2012-11-14

Family

ID=43998810

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010106084553A Expired - Fee Related CN102063536B (zh) 2010-12-17 2010-12-17 基于模式匹配的电源/地线网络与布图规划的协同设计方法

Country Status (1)

Country Link
CN (1) CN102063536B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103064992A (zh) * 2011-10-20 2013-04-24 台达电子企业管理(上海)有限公司 一种基于有向网格点的布线存储结构及其布线方法
CN104239600A (zh) * 2014-07-08 2014-12-24 领佰思自动化科技(上海)有限公司 基于多商品流的大规模集成电路详细布线方法
CN104376026A (zh) * 2013-08-18 2015-02-25 复旦大学 基于网格和多维树混合结构的表格查找方法
CN104778297A (zh) * 2014-01-09 2015-07-15 三星电子株式会社 利用自对准双图案化工艺对晶圆进行图案化的方法
CN113283209A (zh) * 2021-05-24 2021-08-20 海光信息技术股份有限公司 互连线设计方法及装置、芯片、电子设备和计算机可读存储介质
CN113793230A (zh) * 2021-08-09 2021-12-14 国家计算机网络与信息安全管理中心 一种数据中心配电系统地线电流动态仿真方法
CN116522855A (zh) * 2023-07-05 2023-08-01 北京智芯仿真科技有限公司 一种优化集成电路多电源多负载的供电方法及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101114829A (zh) * 2006-07-24 2008-01-30 三星电子株式会社 晶体管开关的布局方案和方法、半导体器件和方法
CN101241523A (zh) * 2008-03-10 2008-08-13 清华大学 全芯片互连线功耗最优的布局阶段缓冲器规划方法
CN101872377A (zh) * 2010-06-12 2010-10-27 清华大学 使用去耦合电容抑制集成电路供电网络噪声的方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101114829A (zh) * 2006-07-24 2008-01-30 三星电子株式会社 晶体管开关的布局方案和方法、半导体器件和方法
CN101241523A (zh) * 2008-03-10 2008-08-13 清华大学 全芯片互连线功耗最优的布局阶段缓冲器规划方法
CN101872377A (zh) * 2010-06-12 2010-10-27 清华大学 使用去耦合电容抑制集成电路供电网络噪声的方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103064992A (zh) * 2011-10-20 2013-04-24 台达电子企业管理(上海)有限公司 一种基于有向网格点的布线存储结构及其布线方法
CN103064992B (zh) * 2011-10-20 2016-08-24 台达电子企业管理(上海)有限公司 一种基于有向网格点的布线存储结构及其布线方法
CN104376026A (zh) * 2013-08-18 2015-02-25 复旦大学 基于网格和多维树混合结构的表格查找方法
CN104376026B (zh) * 2013-08-18 2018-04-13 复旦大学 基于网格和多维树混合结构的表格查找方法
CN104778297A (zh) * 2014-01-09 2015-07-15 三星电子株式会社 利用自对准双图案化工艺对晶圆进行图案化的方法
CN104778297B (zh) * 2014-01-09 2019-08-30 三星电子株式会社 利用自对准双图案化工艺对晶圆进行图案化的方法
CN104239600A (zh) * 2014-07-08 2014-12-24 领佰思自动化科技(上海)有限公司 基于多商品流的大规模集成电路详细布线方法
CN104239600B (zh) * 2014-07-08 2017-05-03 领佰思自动化科技(上海)有限公司 基于多商品流的大规模集成电路详细布线方法
CN113283209A (zh) * 2021-05-24 2021-08-20 海光信息技术股份有限公司 互连线设计方法及装置、芯片、电子设备和计算机可读存储介质
CN113793230A (zh) * 2021-08-09 2021-12-14 国家计算机网络与信息安全管理中心 一种数据中心配电系统地线电流动态仿真方法
CN116522855A (zh) * 2023-07-05 2023-08-01 北京智芯仿真科技有限公司 一种优化集成电路多电源多负载的供电方法及系统
CN116522855B (zh) * 2023-07-05 2023-09-05 北京智芯仿真科技有限公司 一种优化集成电路多电源多负载的供电方法及系统

Also Published As

Publication number Publication date
CN102063536B (zh) 2012-11-14

Similar Documents

Publication Publication Date Title
Cheng et al. On joint learning for solving placement and routing in chip design
CN102063536B (zh) 基于模式匹配的电源/地线网络与布图规划的协同设计方法
Sechen VLSI placement and global routing using simulated annealing
Viswanathan et al. FastPlace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control
US20020138816A1 (en) Placement method for integrated circuit design using topo-clustering
CN107918694B (zh) 用于减少集成电路上的延迟的方法
Agnesina et al. Autodmp: Automated dreamplace-based macro placement
Xu et al. GoodFloorplan: Graph convolutional network and reinforcement learning-based floorplanning
US20050268267A1 (en) Methods and systems for mixed-mode physical synthesis in electronic design automation
Ho et al. Crosstalk-and performance-driven multilevel full-chip routing
US20220405458A1 (en) Machine-learning-based power/ground (p/g) via removal
Li et al. A novel thermal optimization flow using incremental floorplanning for 3D ICs
Ying et al. An analytical approach to floorplanning for hierarchical building blocks layout (VLSI)
Chen et al. Multilevel full-chip gridless routing considering optical proximity correction
US11301614B1 (en) Feasibility analysis of engineering change orders
Zhou et al. Supervised-learning congestion predictor for routability-driven global routing
Hanchate et al. A linear time algorithm for wire sizing with simultaneous optimization of interconnect delay and crosstalk noise
US11829698B2 (en) Guided power grid augmentation system and method
Zhou et al. Floorplanning considering IR drop in multiple supply voltages island designs
Cai et al. PUFFER: A Routability-Driven Placement Framework via Cell Padding with Multiple Features and Strategy Exploration
Babu et al. Comparision of hierarchial mixed-size placement algorithms for VLSI physical synthesis
Cong et al. Thermal-aware physical design flow for 3-D ICs
US11836000B1 (en) Automatic global clock tree synthesis
US11537775B1 (en) Timing and placement co-optimization for engineering change order (ECO) cells
Lee A study of Boosting Design Closure by Delay Approximation and Pin Accessibility Optimization

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121114

Termination date: 20141217

EXPY Termination of patent right or utility model