CN104063558A - 基于线性规划的大规模集成电路通道布线方法 - Google Patents

基于线性规划的大规模集成电路通道布线方法 Download PDF

Info

Publication number
CN104063558A
CN104063558A CN201410321710.4A CN201410321710A CN104063558A CN 104063558 A CN104063558 A CN 104063558A CN 201410321710 A CN201410321710 A CN 201410321710A CN 104063558 A CN104063558 A CN 104063558A
Authority
CN
China
Prior art keywords
wiring
cabling
channel
routes
linear programming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410321710.4A
Other languages
English (en)
Inventor
陈刚
李卓远
李佐渭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Original Assignee
NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD filed Critical NIMBUS AUTOMATION TECHNOLOGY (SHANGHAI) CO LTD
Priority to CN201410321710.4A priority Critical patent/CN104063558A/zh
Publication of CN104063558A publication Critical patent/CN104063558A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种基于线性规划的大规模集成电路通道布线方法,属于集成电路设计领域,其将不同层上的通道布线问题划分成每个走线单元行内的通道布线子问题,再将所述通道布线子问题抽象成多约束的线性规划问题进行求解;本发明的方法提出了一系列数学模型,将各单元行内所有布线统一建模,然后将线长、设计规则以及时延问题分别描述为该线性规划问题的约束条件。基于更准确的数学模型,本发明能够更好的兼容后续的详细布线工具,使得详细布线更快收敛。并且随着集成电路发展,所产生的新特性也更容易建模到本发明模型中。另外,本发明也可以作为预处理阶段加在传统的通道布线方案中,为传统通道布线产生更好的初始解。

Description

基于线性规划的大规模集成电路通道布线方法
技术领域
本发明属于集成电路设计领域,尤其是属于互连线线宽在65nm 及以下的集成电路制造工艺下的集成电路设计优化的技术范畴,具体涉及一种基于线性规划的大规模集成电路通道布线方法。
背景技术
集成电路是由设计者借助于电子设计自动化(EDA)工具设计出集成电路版图,交付集成电路制造商,通过电路掩膜制备(Mask)以及对晶片(Wafer)进行氧化、掺杂、光刻等一系列的制作工艺将电路掩膜转移到晶片上,从而实现其电路功能。对于数字电路设计来说,版图设计流程包括行为级综合,逻辑综合,物理设计和版图优化等步骤。其中,物理设计是最为耗时,对芯片性能影响最大的步骤,它又分为布图规划,布局,时钟树综合,布线等步骤。通道布线是指在布线过程中,总体布线确定线网拓扑结构和布线层分配之后,详细布线之前在布线单元行内进行布线通道分配的阶段。良好的通道布线结果不仅可以加快详细布线的收敛,而且可以优化芯片走线线长。
随着集成电路制造工艺不断提高,特征尺寸迅速减小,芯片上可容纳晶体管数量相应增加,电路设计的复杂度也随之不断提高。传统的基于线性分配的通道布线方法将全局布线之后每段走线划分成一系列的连续的单元走线进行逐一分配再合并且每次分配相同通道数目的单元走线,这样得到的局部最优解有可能使得后续的详细布线收敛变慢甚至不收敛。
发明内容
针对上述现有技术的不足,本发明的目的在于提供一种基于线性规划的大规模集成电路通道布线方法。
为解决上述技术问题,本发明采用如下技术方案:
一种基于线性规划的大规模集成电路通道布线方法,其特征在于:将不同层上的通道布线问题划分成每个布线单元行内的通道布线子问题,并分别建模成线性规划问题进行求解;每个布线单元行内部的所有走线统一建模,并且将线长优化、设计规则检查以及时延优化问题分别描述为该线性规划问题的约束条件,最后求解该线性规划问题,在满足费用最小化的情况下得到各个布线的最佳放置通道。具体方法如下:
将总体布线之后的全局布线结果按照每一金属层的走向划分成一系列水平和垂直的布线单元行;然后通过区域查找得到每个布线单元行内部的布线段集合;所述的线性规划问题处理的对象就是所述每个布线单元行内部的布线段,同时将布线资源、标准单元、布线障碍、预布线信息从OA(OpenAccess)数据库中读取并转换为OA内部数据结构。
对于每一个布线单元行内从布线到布线通道的分配问题,待分配的对象为布线单元行内部的走线,分配的容器为不同的布线通道,每段走线只能放置在一个布线通道内部,同一个布线通道可以放置多个走线;每段走线都包含一系列comp表示它的连接关系,每个comp都记录了一段合理的通道范围表明走线在该comp上的理想区域。
Comp有3种类型:kCompFromBelow表示该走线在这个comp上与下一层布线层上的走线有连接关系;kCompFromAbove表示该走线在这个comp上与上一层布线层上的走线有连接关系;kCompFromPin表示该走线在这个comp上与芯片的引脚或者芯片内部某个实例单元上的引脚有连接关系;对于第i个布线段和第j个布线通道,其分配费用Cij由以下方法确定:
a. 初始化分配费用为0,遍历第i个布线段上所有的comp,若当前comp的类型为kCompFromPin,则分配费用加上第j个布线通道与comp记录的通道范围的距离的8倍,当前comp的类型为kCompFromBelow或kCompFromAbove,则分配费用加上第j个布线通道与comp记录的通道范围的距离;
b. 若所述第j个布线通道上存在布线障碍,则所述第j个布线通道的分配费用将加上相应的惩罚权重kMaxCost;
c. 若所述第j个布线通道上存在与所述第i个布线段不同线网的预布线且它们之间有重叠长度为overlap_length并且大于0,则所述第j 个布线通道的分配费用将加上相应的惩罚权重kShortCost + overlap_length;
d. 若所述第j个布线通道上存在其他线网的引脚且布线i经过的引脚数目为Npin并且Npin大于0,则所述第j个布线通道的分配费用将加上相应的惩罚权重Npin乘以kAccessCost;
e. 若与线网i有连接关系的下一层布线层的走线所在的通道上放置了其他线网的布线且该布线与第j个通道相交,则所述第j个布线通道的分配费用将加上相应的惩罚权重kShortLowerCost。
基于上述分配费用,所述的线性规划问题的基本目标函数描述为:
   Minimize (1)
其中取值1或者0,分别表示布线i是否放在通道j上;
所述的线性规划问题的约束条件有以下几个:
a. 同一个布线只能放在一个通道上;对于布线i,有
(2)
其中T为通道数目;
b. 同一个通道上放置重叠长度最少的布线组合;每次取得一个互相重叠的布线最大团CLIQUE;对于该CLIQUE和布线通道j,有
(3)
其中y为松弛变量,取值为整数,其反应在目标函数中的惩罚系数为kOverlapCost;
c. 以每段布线经过的连续子单元为基本单位,考虑每个布线单元行内上一层的走线分布情况,若上一层的所有走线需要的通道数目大于实际的通道数目(存在溢出),那么记录当前层在该单布线元行内的布线拓扑结构:
若走线i和上一层的走线有连接关系且上一层的走线以该单元为起始单元,将走线i加入集合OUT_ROUTES;若走线i和上一层的走线有连接关系且上一层的走线以该布线单元行为结束单元, 将走线i加入集合IN_ROUTES;若走线i和上一层的走线有连接关系且上一层的走线以该布线单元行为起始单元和结束单元, 将走线i加入集合VIA_ROUTES;
上述3个集合对应了3种约束:IN_ROUTES中的布线所放置的通道下标要小于VIA_ROUTES中的布线所放置的通道下标;VIA_ROUTES中的布线所放置的通道下标要小于OUT_ROUTES中的布线所放置的通道下标;IN_ROUTES中的布线所放置的通道下标要小于OUT_ROUTES中的布线所放置的通道下标;约束模型化为:
(4)
其中s和t分别表示IN_ROUTES和VIA_ROUTES或者VIA_ROUTES和IN_ROUTES或者IN_ROUTES和OUT_ROUTES中的布线, y为松弛变量,取值为整数,其反应在目标函数中的惩罚系数为T乘以kOrderCost;
d. 对于一些特殊的走线,比如时延优化中的关键路径上的走线或者用户自定义规则的走线,它们的相邻的通道上不允许放其他走线,对于特殊布线i,和它有重叠的所有其他走线集合记为OVLP_NETS, 约束模型化为:
(5)
其中y为松弛变量,取值为整数,其反应在目标函数中的惩罚系数为T*kSpaceCost;
基于上述约束条件,所述的线性规划问题的目标函数为所述基本目标函数(1)加上所有惩罚系数乘以惩罚变量;然后在所述线性规划问题求解模块中利用已知的求解器lpsolve求解得到最终的布线道分配方案。
与现有技术相比,本发明具有如下有益效果:
本发明的基于线性规划的大规模集成电路通道布线的方法提出了一系列数学模型,将通道布线描述为求解线性规划问题。线长、时延问题、设计规则以及随着集成电路发展所产生的新特性都可以很容易建模到本发明中;其次,本发明也可以作为预处理阶段加在传统的通道布线方案中,为传统通道布线产生更好的初始解。基于更准确的数学模型,本发明能够更好的兼容后续的详细布线,使得详细布线更快收敛。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,并可依照说明书的内容予以实施,以下以本发明的较佳实施例并配合附图对本专利进行详细说明。
附图说明
图1为本发明的通道布线问题示意图。
图2为本发明的输入输出示意图。
图3为本发明的布线comp示意图。
图4为本发明的拓扑约束示意图。
图5为本发明的特殊布线约束示意图。
具体实施方式
下面结合附图对本发明的优选实施例进行详细介绍。
本实施例设计了一种基于线性规划的大规模集成电路通道布线方法,参见图1所示,将不同层上的通道布线问题划分成每个布线单元行内的通道布线子问题,再将这些子问题抽象成线性规划的数学模型。该问题待分配的对象为处于布线单元行内部的不同线网的布线段,分配的容器为不同的布线通道。对于每一个布线单元行内部的线性规划问题,该方法通过对线长,可布性,时延,设计规则等参数的建模,在线性规划问题中通过最小化分配费用来优化这些目标。
参见图2所示,本发明的输入包括包含总体布线版图信息的DEF文件和包含工艺信息的LEF文件,本发明的输出是包含通道布线版图信息的DEF文件。LEF/DEF是工业界标准的版图信息和工艺信息描述格式。基于OA数据平台,使用内置的lef2oa和def2oa命令将输入的LEF/DEF文件转换为OA内部的数据结构。转换为OA的数据结构之后,对于标准单元、单元实例、布线层、布线资源等静态数据,该发明直接使用OA的数据接口。对于互连线,通孔等该发明需要修改更新的动态数据,进一步将这些信息存放于该发明构造好的内部数据结构中,以便进行后续的操作。
本发明将不同的布线层划分为水平或垂直的一系列单元行,同一层上所有单元行的方向是一致的,均为该层的走线方向。通过区域查找可以快速得到每个单元行内的布线信息。线性规划问题处理的对象就是这些单元行内部的布线段。同时本发明将布线资源,标准单元,布线障碍,预布线信息从OA 数据库中读取并转换为内部数据结构。在得到所有的上下文信息之后,每个布线段由一组comp来描述该布线的连接关系。每个comp都记录了一段合理的通道范围表明走线在该comp上的理想区域。
Comp有3种类型:kCompFromBelow表示该走线在这个comp上与下一层布线层上的走线有连接关系;kCompFromAbove表示该走线在这个comp上与上一层布线层上的走线有连接关系;kCompFromPin表示该走线在这个comp上与芯片的引脚或者芯片内部某个实例单元上的引脚有连接关系。参见图3所示,布线2在单元行内经过了3个连续的子单元,它有两个comp,在第1个子单元里,布线2有一个类型为kCompFromPin的comp,它的范围是[4,4], 在第3个子单元里,布线2有一个类型为kCompFromAbove的comp,它的范围是[4,6]。comp的范围表示与该线网有连接的上下层走线或者引脚所占有的通道范围。在通道布线的时候,各个布线优先考虑的均是它的comp的范围。
对于每一个布线单元行,本发明构造从布线段到布线通道的分配问题,待分配的对象为单元行内部的布线段,分配的容器为不同的布线通道。在最终的分配方案中,每一个布线段被分配且仅被分配给某一个布线通道。对于布线段i和布线通道j,其分配费用Cij由以下方法确定:
a. 初始化分配费用为0,遍历第i个布线段上所有的comp,若当前comp的类型为kCompFromPin,则分配费用加上第j个布线通道与comp记录的通道范围的距离的8倍,当前comp的类型为kCompFromBelow或kCompFromAbove,则分配费用加上第j个布线通道与comp记录的通道范围的距离;
b. 若所述第j个布线通道上存在布线障碍,那么所述第j个布线通道的分配费用将加上相应的惩罚权重kMaxCost;
c. 若所述第j个布线通道上存在与所述第i个布线段不同线网的预布线且它们之间有重叠长度为overlap_length并且大于0,那么所述第j 个布线通道的分配费用将加上相应的惩罚权重kShortCost + overlap_length;
d. 若所述第j个布线通道上存在其他线网的引脚且布线i经过的引脚数目为Npin并且Npin大于0,那么所述第j个布线通道的分配费用将加上相应的惩罚权重Npin乘以kAccessCost;
e. 若与线网i有连接关系的下一层布线层的走线所在的通道上放置了其他线网的布线且该布线与第j个通道相交,那么所述第j个布线通道的分配费用将加上相应的惩罚权重kShortLowerCost。
基于上述分配费用,线性规划问题的基本目标函数描述为:
Minimize (1)
其中取值1或者0,分别表示布线i是否放在通道j上;
该线性规划问题的约束条件有以下几个:
a. 同一个布线只能放在一个通道上。对于布线i,有
(2)
其中T为通道数目;
b. 同一个通道上放置重叠长度最少的布线组合。每次取得一个互相重叠的布线最大团Clique。对于该Clique和布线通道j,有
(3)
其中y为松弛变量,取值为整数,它反应在目标函数中的惩罚系数为kOverlapCost;
c. 以每段布线经过的连续子单元为基本单位,考虑每个单元内上一层的走线分布情况,若上一层的所有走线需要的通道数目大于实际的通道数目(存在溢出),那么记录当前层在该单元内的布线拓扑结构:若走线i和上一层的走线有连接关系且上一层的走线以该单元为起始单元,将走线i加入集合OUT_ROUTES;若走线i和上一层的走线有连接关系且上一层的走线以该单元为结束单元, 将走线i加入集合IN_ROUTES;若走线i和上一层的走线有连接关系且上一层的走线以该单元为起始单元和结束单元, 将走线i加入集合VIA_ROUTES;参见图4上半部分所示,单元行内的第2个子单元内的第4层有6个布线,5个通道,因此存在溢出,比较好的做法是将布线2和布线3共享1个通道。所以在布第3层的时候就要考虑布线1和布线2(假定第3层的布线1和布线2分别要连接第4层的布线3和布线2),由于第四层上布线2以该单元2为结束单元,布线3以该单元2为起始单元,所以第3层上布线2所在的通道要小于布线1所在的通道,若果违反则真正产生了溢出,参见图4下半部分所示,图中红色虚线框内产生了短路,这种情况必然导致详细布线要扩大区域进行拆线重布。因此将拓扑约束加入通道布线模型中是很有必要的。这里有3种拓扑约束:IN_ROUTES中的布线所放置的通道下标要小于VIA_ROUTES中的布线所放置的通道下标;VIA_ROUTES中的布线所放置的通道下标要小于OUT_ROUTES中的布线所放置的通道下标;IN_ROUTES中的布线所放置的通道下标要小于OUT_ROUTES中的布线所放置的通道下标;约束模型化为:
(4)
其中s和t分别表示IN_ROUTES和VIA_ROUTES或者VIA_ROUTES和IN_ROUTES或者IN_ROUTES和OUT_ROUTES中的布线, y为松弛变量,取值为整数,它反应在目标函数中的惩罚系数为T*kOrderCost;
d. 对于一些特殊的走线,比如时延优化中的关键路径上的走线或者用户自定义规则的走线,它们的相邻的通道上不允许放其他走线。参见图5所示,走线1在第3层,它要连上第4层的走线,但是第3层和第4层之间的通孔太宽,导致布线1在通孔处需要3个通道(上下各额外一个),另外布线2是双倍宽度和双倍间距规则,它的两侧都需要额外的1个通道。对于这种特殊布线i,和它有重叠的所有其他走线集合记为OVLP_NETS, 约束模型化为:
(5)
其中y为松弛变量,取值为整数,它反应在目标函数中的惩罚系数为T*kSpaceCost。
基于上述约束,所述线性规划问题的目标函数为所述基本目标函数(1)加上所有惩罚系数乘以惩罚变量。然后在所述线性规划问题求解模块中利用已知的求解器lpsolve求解得到最终的布线通道分配方案。
以上对本发明实施例进行了详细介绍,对于本领域的一般技术人员,依据本发明实施例的思想,在具体实施方式及应用范围上均会有改变之处,综上所述,本说明书内容不应理解为对本发明的限制,凡依本发明设计思想所做的任何改变都在本发明的保护范围之内。

Claims (2)

1.基于线性规划的大规模集成电路通道布线方法,其特征在于:将不同层上的通道布线问题划分成每个布线单元行内的通道布线子问题,并分别建模成线性规划问题进行求解;每个布线单元行内部的所有走线统一建模,并且将线长优化、设计规则检查以及时延优化问题分别描述为该线性规划问题的约束条件,最后求解该线性规划问题,在满足费用最小化的情况下得到各个布线的最佳放置通道。
2.根据权利要求1所述的基于线性规划的大规模集成电路通道布线方法,其特征在于,具体方法如下:
将总体布线之后的全局布线结果按照每一金属层的走向划分成一系列水平和垂直的布线单元行;然后通过区域查找得到每个布线单元行内部的布线段集合;所述的线性规划问题处理的对象就是所述每个布线单元行内部的布线段,同时将布线资源、标准单元、布线障碍、预布线信息从OpenAccess数据库中读取并转换为OpenAccess内部数据结构;
对于每一个布线单元行内从布线到布线通道的分配问题,待分配的对象为布线单元行内部的走线,分配的容器为不同的布线通道,每段走线只能放置在一个布线通道内部,同一个布线通道可以放置多个走线;每段走线都包含一系列comp表示它的连接关系,每个comp都记录了一段合理的通道范围表明走线在该comp上的理想区域;
Comp有3种类型:kCompFromBelow表示该走线在这个comp上与下一层布线层上的走线有连接关系;kCompFromAbove表示该走线在这个comp上与上一层布线层上的走线有连接关系;kCompFromPin表示该走线在这个comp上与芯片的引脚或者芯片内部某个实例单元上的引脚有连接关系;对于第i个布线段和第j个布线通道,其分配费用Cij由以下方法确定:
a. 初始化分配费用为0,遍历第i个布线段上所有的comp,若当前comp的类型为kCompFromPin,则分配费用加上第j个布线通道与comp记录的通道范围的距离的8倍,当前comp的类型为kCompFromBelow或kCompFromAbove,则分配费用加上第j个布线通道与comp记录的通道范围的距离;
b. 若所述第j个布线通道上存在布线障碍,则所述第j个布线通道的分配费用将加上相应的惩罚权重kMaxCost;
c. 若所述第j个布线通道上存在与所述第i个布线段不同线网的预布线且它们之间有重叠长度为overlap_length并且大于0,则所述第j 个布线通道的分配费用将加上相应的惩罚权重kShortCost + overlap_length;
d. 若所述第j个布线通道上存在其他线网的引脚且布线i经过的引脚数目为Npin并且Npin大于0,则所述第j个布线通道的分配费用将加上相应的惩罚权重Npin乘以kAccessCost;
e. 若与线网i有连接关系的下一层布线层的走线所在的通道上放置了其他线网的布线且该布线与第j个通道相交,则所述第j个布线通道的分配费用将加上相应的惩罚权重kShortLowerCost;
基于上述分配费用,所述的线性规划问题的基本目标函数描述为:
   Minimize (1)
其中取值1或者0,分别表示布线i是否放在通道j上;
所述的线性规划问题的约束条件有以下几个:
a. 同一个布线只能放在一个通道上;对于布线i,有
(2)
其中T为通道数目;
b. 同一个通道上放置重叠长度最少的布线组合;每次取得一个互相重叠的布线最大团CLIQUE;对于该CLIQUE和布线通道j,有
(3)
其中y为松弛变量,取值为整数,其反应在目标函数中的惩罚系数为kOverlapCost;
c. 以每段布线经过的连续子单元为基本单位,考虑每个布线单元行内上一层的走线分布情况,若上一层的所有走线需要的通道数目大于实际的通道数目,那么记录当前层在该单布线元行内的布线拓扑结构:
若走线i和上一层的走线有连接关系且上一层的走线以该单元为起始单元,将走线i加入集合OUT_ROUTES;若走线i和上一层的走线有连接关系且上一层的走线以该布线单元行为结束单元, 将走线i加入集合IN_ROUTES;若走线i和上一层的走线有连接关系且上一层的走线以该布线单元行为起始单元和结束单元, 将走线i加入集合VIA_ROUTES;
上述3个集合对应了3种约束:IN_ROUTES中的布线所放置的通道下标要小于VIA_ROUTES中的布线所放置的通道下标;VIA_ROUTES中的布线所放置的通道下标要小于OUT_ROUTES中的布线所放置的通道下标;IN_ROUTES中的布线所放置的通道下标要小于OUT_ROUTES中的布线所放置的通道下标;约束模型化为:
(4)
其中s和t分别表示IN_ROUTES和VIA_ROUTES或者VIA_ROUTES和IN_ROUTES或者IN_ROUTES和OUT_ROUTES中的布线, y为松弛变量,取值为整数,其反应在目标函数中的惩罚系数为T乘以kOrderCost;
d. 对于一些特殊的走线,比如时延优化中的关键路径上的走线或者用户自定义规则的走线,它们的相邻的通道上不允许放其他走线,对于特殊布线i,和它有重叠的所有其他走线集合记为OVLP_NETS, 约束模型化为:
(5)
其中y为松弛变量,取值为整数,其反应在目标函数中的惩罚系数为T*kSpaceCost;
基于上述约束条件,所述的线性规划问题的目标函数为所述基本目标函数(1)加上所有惩罚系数乘以惩罚变量;然后在所述线性规划问题求解模块中利用已知的求解器lpsolve求解得到最终的布线道分配方案。
CN201410321710.4A 2014-07-08 2014-07-08 基于线性规划的大规模集成电路通道布线方法 Pending CN104063558A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410321710.4A CN104063558A (zh) 2014-07-08 2014-07-08 基于线性规划的大规模集成电路通道布线方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410321710.4A CN104063558A (zh) 2014-07-08 2014-07-08 基于线性规划的大规模集成电路通道布线方法

Publications (1)

Publication Number Publication Date
CN104063558A true CN104063558A (zh) 2014-09-24

Family

ID=51551270

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410321710.4A Pending CN104063558A (zh) 2014-07-08 2014-07-08 基于线性规划的大规模集成电路通道布线方法

Country Status (1)

Country Link
CN (1) CN104063558A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105989197A (zh) * 2015-01-28 2016-10-05 京微雅格(北京)科技有限公司 基于sat算法的时钟树布线方法
CN106227955A (zh) * 2016-07-22 2016-12-14 天津大学 一种用于芯片顶层金属防护层的重构方法
CN108021724A (zh) * 2016-11-03 2018-05-11 展讯通信(上海)有限公司 标准单元的布线方法
CN111540735A (zh) * 2020-05-09 2020-08-14 安徽省东科半导体有限公司 一种提升芯片硬宏供电能力的方法
CN112632899A (zh) * 2020-12-30 2021-04-09 上海华大九天信息科技有限公司 一种均衡电阻的布线方法
WO2024060446A1 (zh) * 2022-09-22 2024-03-28 中山大学 高层次综合的快速线性规划方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4752887A (en) * 1985-03-01 1988-06-21 Nec Corporation Routing method for use in wiring design
CN102622468A (zh) * 2012-02-20 2012-08-01 苏州领佰思自动化科技有限公司 基于并行计算的大规模集成电路通道布线的方法及其系统
CN102637217A (zh) * 2012-02-20 2012-08-15 苏州领佰思自动化科技有限公司 基于云计算平台的大规模集成电路布线的方法及其系统
CN103902774A (zh) * 2014-03-31 2014-07-02 福州大学 X结构下超大规模集成电路总体布线方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4752887A (en) * 1985-03-01 1988-06-21 Nec Corporation Routing method for use in wiring design
CN102622468A (zh) * 2012-02-20 2012-08-01 苏州领佰思自动化科技有限公司 基于并行计算的大规模集成电路通道布线的方法及其系统
CN102637217A (zh) * 2012-02-20 2012-08-15 苏州领佰思自动化科技有限公司 基于云计算平台的大规模集成电路布线的方法及其系统
CN103902774A (zh) * 2014-03-31 2014-07-02 福州大学 X结构下超大规模集成电路总体布线方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105989197A (zh) * 2015-01-28 2016-10-05 京微雅格(北京)科技有限公司 基于sat算法的时钟树布线方法
CN105989197B (zh) * 2015-01-28 2019-06-11 京微雅格(北京)科技有限公司 基于sat算法的时钟树布线方法
CN106227955A (zh) * 2016-07-22 2016-12-14 天津大学 一种用于芯片顶层金属防护层的重构方法
CN108021724A (zh) * 2016-11-03 2018-05-11 展讯通信(上海)有限公司 标准单元的布线方法
CN111540735A (zh) * 2020-05-09 2020-08-14 安徽省东科半导体有限公司 一种提升芯片硬宏供电能力的方法
CN112632899A (zh) * 2020-12-30 2021-04-09 上海华大九天信息科技有限公司 一种均衡电阻的布线方法
WO2024060446A1 (zh) * 2022-09-22 2024-03-28 中山大学 高层次综合的快速线性规划方法

Similar Documents

Publication Publication Date Title
CN104063558A (zh) 基于线性规划的大规模集成电路通道布线方法
WO2022266906A1 (zh) 一种集成电路的版图生成方法及装置
CN102622468B (zh) 基于并行计算的大规模集成电路通道布线系统
KR100201979B1 (ko) 배선경로 처리방법과 배선경로 처리시스템 및 반도체집적회로 장치
US6543043B1 (en) Inter-region constraint-based router for use in electronic design automation
US11093681B2 (en) Method and system for generating layout design of integrated circuit
US9817941B2 (en) Methods, systems, and articles of manufacture for implementing high current carrying interconnects in electronic designs
US20040250230A1 (en) Wiring design method and system for electronic wiring boards
JPH05108744A (ja) 階層的回路データベース最適化装置及び階層的回路データベース最適化方法
US9213793B1 (en) Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US8510702B2 (en) Interactive routing editor with symbolic and geometric views for integrated circuit layout
CN102637217B (zh) 基于云计算平台的大规模集成电路布线系统
Tang et al. A survey on steiner tree construction and global routing for vlsi design
US6480996B1 (en) System and method for transposing wires in a circuit design
US9454634B1 (en) Methods, systems, and computer program product for an integrated circuit package design estimator
Hanchate et al. Simultaneous interconnect delay and crosstalk noise optimization through gate sizing using game theory
CN104239600B (zh) 基于多商品流的大规模集成电路详细布线方法
CN112861466B (zh) 一种布线轨道分配方法、电子设备及计算机可读存储介质
CN112131813B (zh) 基于端口交换技术的用于提升布线速度的fpga布线方法
US10643020B1 (en) System and method to estimate a number of layers needed for routing a multi-die package
JPH08274179A (ja) 配線設計方法および配線設計装置
CN102902347A (zh) 一种片上系统的低功耗电压岛划分方法
Kao et al. Cross point assignment with global rerouting for general-architecture designs
Fontana et al. ILPGRC: ILP-Based Global Routing Optimization With Cell Movements
CN116888599A (zh) 一种集成电路的电路单元布局方法及装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140924