TWI569303B - 產生待被平面規劃至一三維(3d)積體電路之電路區塊之一庫的方法及設備及非暫態電腦可讀取儲存媒體 - Google Patents

產生待被平面規劃至一三維(3d)積體電路之電路區塊之一庫的方法及設備及非暫態電腦可讀取儲存媒體 Download PDF

Info

Publication number
TWI569303B
TWI569303B TW102143578A TW102143578A TWI569303B TW I569303 B TWI569303 B TW I569303B TW 102143578 A TW102143578 A TW 102143578A TW 102143578 A TW102143578 A TW 102143578A TW I569303 B TWI569303 B TW I569303B
Authority
TW
Taiwan
Prior art keywords
circuit
library
implementation
monolithic
blocks
Prior art date
Application number
TW102143578A
Other languages
English (en)
Other versions
TW201428813A (zh
Inventor
坎畢茲 沙瑪迪
史瑞沛德A 潘斯
楊 杜
Original Assignee
高通公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/792,486 external-priority patent/US9098666B2/en
Application filed by 高通公司 filed Critical 高通公司
Publication of TW201428813A publication Critical patent/TW201428813A/zh
Application granted granted Critical
Publication of TWI569303B publication Critical patent/TWI569303B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/08Intellectual property [IP] blocks or IP cores

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Floor Finish (AREA)

Description

產生待被平面規劃至一三維(3D)積體電路之電路區塊之一庫的方法及設備及非暫態電腦可讀取儲存媒體
所揭示之實施例大體上係有關於積體電路之有效平面規劃。更具體言之,所揭示之實施例係有關於用於平面規劃一3D積體電路之系統及方法,該3D積體電路最小化接線長且改良設計之總功率/效能包絡線(performance envelope)。
在電子設計自動化中,積體電路之平面規劃為其主要功能區塊之試驗性置放的示意性表示。在現代電子設計程序中,在平面規劃階段期間建立平面規劃,該平面規劃階段為晶片設計之階層式方法中之早期階段。平面規劃考量設計中之幾何約束中的一些幾何約束,包括(例如)用於晶片外連接之結合襯墊的位置。
以3D格式實施正反器及其他積體電路將為有利的。3D半導體裝置(或堆疊式IC裝置)可含有垂直堆疊之兩個或兩個以上半導體裝置,因此該兩個或兩個以上半導體裝置佔據比兩個或兩個以上習知配置之半導體裝置少的空間。堆疊式IC裝置為藉由堆疊矽晶圓及/或IC並將其垂直互連使得其充當單一裝置而建置成的單一積體電路。
習知地,在裝置之周邊處或跨越裝置之區域或既在裝置之周邊 處又跨越裝置之區域而使用輸入/輸出埠將堆疊式半導體裝置接線在一起。輸入/輸出埠略微地增加總成之長度及寬度。在一些新3D堆疊中,矽穿孔(TSV)藉由穿過半導體裝置之主體建立垂直連接而完全或部分地替代邊緣佈線。藉由使用TSV技術,堆疊式IC裝置可將大量功能性封裝至小佔據面積中。此TSV技術有時亦被稱作TSS(矽穿堆疊)。
裝置尺度縮放與互連效能失配已呈指數增加(亦即,對於全域互連,高達50倍,及對於區域互連,高達163倍),且預期繼續更進一步增加。裝置與互連效能失配之此指數式增加已迫使設計者使用諸如全域互連之強緩衝的技術,該強緩衝隨後增加晶片面積及功率。當前3D方法僅試圖將2D區塊裝配成3D堆疊。此方法僅有助於減少區塊間網(若適用),且並未充分利用區塊內之3D-IC,且不存在其他改良。以下兩個參考案分別揭示已知的3D區塊層級TSV規劃及2D區塊之3D平面規劃:D.H.Kim、R.O.Topaloglu及S.K.Lim之「Block-Level 3D IC Design with Through-Silicon-Via Planning」(Proc.ASPDAC,2011年,第335頁至340頁);及J.Knechtel、I.Markov及J.Lienig之「Assembling 2-D Blocks Into 3-D Chips」(IEEE Trans.On CAD,2012年,第228頁至第241頁)。
因此,需要用於改良3D設計之能力藉此最小化接線長並改良3D設計之總功率/效率包絡線的系統及方法。
所揭示之實施例係有關於用於使用2D及3D區塊之混合體來平面規劃積體電路設計的系統及方法,其提供優於現有3D設計方法之顯著改良。所揭示之實施例提供進一步最小化接線長及改良設計之總功率/效能包絡線的較佳平面規劃解決方案。所揭示之實施例包括可用以建構待用於設計中之新3D IP區塊的方法,該等新3D IP區塊係使用 單片式3D整合技術來建置。在電子設計中,半導體智慧財產(IP)核心或IP區塊為邏輯、晶胞或晶片佈局設計之可再使用單元。IP區塊/核心通常為預先設計之電路,其可用作用於包括預先設計之區塊的大型設計之建置區塊。
更具體言之,所揭示之實施例包括一種產生待平面規劃之區塊之庫的方法,步驟包含:裝配包含2D實施及3D實施之複數個區塊;提供用於該複數個區塊中之至少一者的第一額外層且產生該複數個區塊中之該至少一者的一第一重新實施,其包括該第一額外層;評估該第一重新實施之至少一效能目標,以判定該至少一效能目標是否已得到改良;及若該評估步驟之結果為該至少一效能目標已得到改良,則將該第一重新實施添加至待平面規劃之區塊之庫。上文所描述之方法可進一步包含以下步驟:若該至少一效能目標得到改良,則提供用於該複數個區塊中之該至少一者的第二額外層且產生該複數個區塊中之該至少一者的一第二重新實施,其包括該第二額外層;進一步評估該第二重新實施之該至少一效能目標,以判定該至少一效能目標是否已得到改良;及若該進一步評估步驟之結果為該至少一效能目標已得到改良,則將該第二重新實施添加至待平面規劃之區塊之庫。
所揭示之實施例的上文所描述之方法可進一步包括:將該等3D實施添加至待平面規劃之區塊之庫的步驟;平面規劃區塊之庫,其中該平面規劃利用模擬退火3D平面規劃引擎且包含具有高密度通孔之網路的單片式3D平面規劃;及使用該3D平面規劃來產生IP區塊的步驟,該IP區塊可用於包括IP區塊之大型設計。
10‧‧‧總平面規劃/多層積體電路
12‧‧‧第一層
14‧‧‧第二層
20a‧‧‧區塊
20b‧‧‧區塊
20c‧‧‧區塊
20d‧‧‧區塊
20e‧‧‧區塊
30‧‧‧區塊
32‧‧‧箭頭
100‧‧‧將現有2D及/或3D特性化成經改良、重新實施之對應物區塊的方法
200‧‧‧進一步說明3D平面規劃之方法
300‧‧‧用於產生用於所揭示之實施例的3D區塊設計之插腳指派的方法
呈現隨附圖式以輔助描述所揭示之實施例,且僅為說明實施例而非限制實施例來提供隨附圖式。
圖1為說明基本方法的所揭示之實施例之流程圖,該基本方法用 以將現有2D及/或3D區塊特性化成經改良、重新實施之對應物區塊(若適用),以相對於現有2D及/或3D區塊之功率/效能包絡線改良對應物區塊之功率/效能包絡線;圖2為進一步說明所揭示之實施例的3D平面規劃之流程圖,該3D平面規劃使用經改良、重新實施之2D及/或3D區塊的混合體及模擬退火框架以實施所揭示之3D平面規劃引擎;圖3為3D平面規劃之實例,其中一區塊以3D實施且剩餘區塊以2D實施;及圖4說明所揭示之實施例的區塊設計之3D插腳指派。
根據35 U.S.C.§119規定之優先權主張
本專利申請案主張以下各案之優先權:2012年11月28日申請之題為「使用二維及三維區塊之三維平面規劃(3D FLOORPLANNING USING 2D AND 3D BLOCKS)」的臨時申請案第61/730,743號,且該案已讓與給其受讓人並藉此以引用之方式明確地併入本文中。
2012年11月28日申請之題為「CLOCK DISTRIBUTION NETWORK FOR 3D INTEGRATED CIRCUIT」的臨時申請案第61/730,755號,且該案已讓與給其受讓人並藉此以引用之方式明確地併入本文中。
對同在申請中之專利申請案的參考
本專利申請案係關於以下同在申請中之美國專利申請案:2013年3月5日申請之Yang Du、Jing Xie及Kambiz Samadi的「MONOLITHIC 3D IC FLIP-FLOP DESIGN」,具有代理人案號123412,該案已讓與給其受讓人並以引用之方式明確地併入本文中;2013年3月7日申請之Yang Du的「MONOLITHIC THREE DIMENSIONAL INTEGRATION OF SEMICONDUCTOR INTEGRATED CIRCUITS」,具有代理人案號120600,該案已讓與給其受讓人並以引用之方式明確地併入本文中;及2013年3月11日申請之Kambiz Samadi、Shreepad Panth、Jing Xie及Yang Du的「CLOCK DISTRIBUTION NETWORK FOR 3D INTEGRATED CIRCUIT」,具有代理人案號124318,該案已讓與給其受讓人並以引用之方式明確地併入本文中。
本發明之態樣揭示於以下描述以及有關於本發明之特定實施例的相關圖式中。可設計出替代實施例而不脫離本發明之範疇。另外,將不詳細描述或將省略本發明之熟知元件以便不會混淆本發明之相關細節。
詞語「例示性」在本文中用以意謂「充當一實例、例子或說明」。不必將本文中描述為「例示性」之任何實施例解釋為比其他實施例較佳或有利。同樣,術語「本發明之實施例」並不要求本發明之所有實施例包括所論述之特徵、優點或操作模式。
本文中所使用之術語僅用於達成描述特定實施例之目的且不欲限制本發明之實施例。如本文中所使用,單數形式「一」及「該」意欲亦包括複數形式,除非上下文另有清晰指示。應進一步理解,術語「包含」、「包括」在本文中使用時指定所陳述之特徵、整體、步驟、操作、元件及/或組件之存在,但並不排除一或多個其他特徵、整體、步驟、操作、元件、組件及/或其群組之存在或添加。
另外,許多實施例係關於待由(例如)計算裝置之元件執行的動作之序列來描述。應認識到,本文中所描述之各種動作可藉由特定電路(例如,特殊應用積體電路(ASIC))、藉由一或多個處理器所執行之程式指令或藉由兩者之組合來執行。另外,可認為本文中所描述之動作序列完全體現於任何形式之電腦可讀儲存媒體內,該電腦可讀儲存媒體中儲存有在執行後便將使相關聯之處理器執行本文中所描述之功能性的電腦指令之對應集合。因此,本發明之各種態樣可以數種不同形式體現,其皆預期在所主張之標的物之範疇內。另外,對於本文中所 描述之實施例中的每一者,任何此等實施例之對應形式可在本文中被描述為(例如)「經組態以執行所描述之動作的邏輯」。
圖1為說明所揭示之實施例的基本方法之流程圖。圖1之方法100將現有2D及/或3D區塊特性化或重新實施成多個對應物區塊(其亦可為2D及/或3D)(若適用),以相對於現有2D及/或3D區塊之功率/效能包絡線改良對應物區塊之功率/效能包絡線。當跨越額外層而摺疊一些區塊時,該等區塊可表現更好。目標為進一步擴展並重新實施現有區塊以產生優於其對應物的經改良之區塊之集合。方法100在步驟102處以包括現有2D及/或3D區塊之初始接線對照表開始。在步驟104處,增加層之數目,且接著在步驟106處重新實施初始區塊。重新實施包括跨越該等層而分割接線對照表,置放每一層且排定每一層之線路,及插入通孔。大體而言,接線對照表為經實施為一般閘或程序特定標準晶胞的邏輯功能之布林代數表示。對於單片式3D應用,通孔較佳為高密度層間通孔。步驟108評估目標以判定在步驟104處添加之額外層是否改良設計。舉例而言,可將總矽面積、時序及功率之加權和視為目標。因為區塊遠小於整個設計,所以較佳在步驟108處使用佈局後時序、功率及面積值以用於增加準確度。若在步驟108處之評估為令人滿意的(亦即,額外層改良區塊),則在步驟110處,將經改良之區塊添加至區塊群集,且方法100返回至區塊104以進一步增加層之數目。若在步驟108處之評估不能令人滿意,則方法100判定最近添加之層無法改良區塊,且方法100停止。藉此,方法100識別在跨越額外層而摺疊時表現較好之區塊,從而產生優於其現有2D及/或3D對應物的經改良、重新實施之區塊的集合/庫(步驟110)。
圖2為進一步說明所揭示之實施例的3D平面規劃的另一方法200之流程圖,該3D平面規劃使用2D及3D區塊之經改良、重新實施之混合體。在所揭示之設計情形中,必須將區塊之集合(包括在圖1之步驟 110處產生的區塊群組)平面規劃成3D堆疊。每一區塊實行為具有變化數目個層、時序、功率及區域佔據面積的2D及3D實施。藉由區域佔據面積、接線長及延遲之加權和來判定目標。可取決於特定設計而考慮其他導出型目標函數。輸出為判定(i)用於每一區塊(亦即,2D或3D)之區塊實施的選擇,及(ii)最小化上述目標函數之每一區塊之(x,y,z)座標。
圖2之方法200展示用以實施所揭示之3D平面規劃引擎的模擬退火框架。模擬退火為基於冷卻金屬之行為的人工智慧技術。其可用以獲得針對困難或不可能之組合性最佳化問題的解決方案。方法200在步驟202處藉由識別初始解決方案而開始,在初始解決方案中將全域參數T設定為初始值T0。儘管全域參數T一般被稱作溫度,但T並不與物理溫度相關。實情為,T為用以控制基於模擬退火之3D平面規劃引擎之進展的全域參數。步驟204接著擾動解決方案,且步驟206評估服務品質(QoS)參數是否低於其最佳位準。QoS為向不同應用程式、使用者或資料流提供不同優先權,或保證資料流之某一效能等級的能力。舉例而言,可保證所需位元速率、延遲、抖動、封包丟棄機率及/或位元錯誤率。若在步驟206處之判定為否,則方法200在步驟208處接受具有與T成比例之機率的解決方案,接著繼續進行至步驟212。若在步驟206處之判定為是,則方法200在步驟210處接受解決方案,接著繼續進行至步驟212。步驟212判定移動之數目是否大於針對給定T之經設定為Mmax的最大移動數。若步驟212之答覆為否,則方法200返回至步驟204且進一步擾動解決方案。若步驟212之答覆為是,則步驟214降低全域參數T,接著在步驟216處評估T現在是否小於Tmin(停止「溫度」)。若步驟216之答覆為是,則方法200停止。若步驟216之答覆為否,則方法200返回至步驟204且進一步擾動解決方案。
圖3為可由圖1之方法100及圖2之方法200產生的多層積體電路平 面規劃10之實例。總平面規劃10為3D,其中一區塊以3D來實施且剩餘區塊以2D來實施。如所說明,多層積體電路10包括第一層12及第二層14。一區塊30橫跨層12及層14而以3D來實施。剩餘區塊20a、20b、20c、20d及20e以2D來實施且散佈於層12與層14之間。通孔(由箭頭32表示)之網路為多層積體電路10提供通信路徑。
圖4說明用於產生用於所揭示之實施例的3D區塊設計之插腳指派的方法300。評估區塊接線對照表,且步驟302判定3D區塊是否為硬巨集(hard macro)。將邏輯組件及組件之間的實體路徑及佈線圖案指定為硬巨集。因此,若3D區塊為硬巨集,則業已完成插腳指派以及區塊設計。若3D區塊並非硬巨集,則已指定所需邏輯元件之互連,但未指定實體佈線圖案。因此,該3D區塊為軟巨集。若3D區塊為軟巨集,則方法300在步驟304處允許插腳處於區塊之每一層上,接著執行3D平面規劃。在知曉平面規劃解決方案/區塊間連接性之情況下,現可固定插腳位置。藉由使用該插腳指派及跨越該等層之分割解決方案,步驟306實施區塊。可使用2D或3D方法來進行分割。
當3D實施技術為一般稱為「單片式」之類型時,所揭示之實施例特別有利。在單片式3D積體電路中,在單一半導體晶圓上之多個層體中順序地建置電子組件及其連接(佈線),該單一半導體晶圓接著經切割成3D IC。最初,每一後續層中不具有裝置,因此無需對準,從而導致較大整合密度。高密度通孔之網路為單片式3D IC提供通信路徑。此外,所揭示之實施例包括可用以建構待用於設計中之新3D IP區塊的方法,該等新3D IP區塊係使用單片式3D整合技術來建置。所揭示之實施例的新3D IP區塊/核心可用作邏輯、晶胞或晶片佈局之可再使用單元,其可用於包括預先設計之區塊的大型設計。
雖然前述揭示內容及說明展示本發明之實施例,但應注意,在不脫離如由附加之申請專利範圍界定的本發明之範疇之情況下可對本 發明進行各種改變及修改。舉例而言,根據本文中所描述的本發明之實施例的方法請求項之功能、步驟及/或動作無需以任何特定次序來執行。此外,儘管可能以單數形式描述或主張本發明之元件,但除非明確陳述限於單數形式,否則亦預期複數形式。
熟習此項技術者亦將瞭解,可將結合本文中所揭示之實施例而描述的各種說明性邏輯區塊、模組、電路及演算法步驟實施為電子硬體、電腦軟體或兩者之組合。為了清楚地說明硬體與軟體之此可互換性,上文已大體上在功能性方面描述了各種說明性組件、區塊、模組、電路及步驟。此功能性係實施為硬體抑或軟體取決於特定應用及強加於整個系統上之設計約束。熟習此項技術者可針對每一特定應用以變化之方式實施所描述之功能性,但不應將此等實施決策解釋為導致脫離本發明之範疇。
結合本文中所揭示之實施例而描述之方法、序列及/或演算法可直接以硬體、以由處理器執行之軟體模組或以該兩者之組合來體現。 軟體模組可駐留於RAM記憶體、快閃記憶體、ROM記憶體、EPROM記憶體、EEPROM記憶體、暫存器、硬碟、抽取式碟片、CD-ROM或此項技術中已知之任何其他形式的儲存媒體中。例示性儲存媒體耦接至處理器,使得處理器可自儲存媒體讀取資訊及將資訊寫入至儲存媒體。在替代例中,儲存媒體可整合至處理器。因此,本發明之實施例可包括電腦可讀媒體,該電腦可讀媒體體現用於執行所揭示及主張之實施例的方法。因此,本發明不限於所說明之實例,且用於執行本文中所描述之功能性之任何構件包括於本發明之實施例中。
100‧‧‧將現有2D及/或3D特性化成經改良、重新實施之對應物區塊的方法

Claims (13)

  1. 一種產生待被平面規劃至一三維(3D)積體電路之電路區塊之一庫的方法,其步驟包含:藉由一計算裝置裝配包含二維(2D)電路實施及3D電路實施之複數個電路區塊;提供用於該複數個電路區塊中之至少一者的一第一額外層,且產生該複數個電路區塊中之該至少一者的一第一重新實施,其包括該第一額外層;評估該第一重新實施之至少一效能目標,以判定該至少一效能目標是否已得到改良;及若該評估步驟之一結果為該至少一效能目標已得到改良,則將該第一重新實施添加至待被平面規劃至該3D積體電路之電路區塊之該庫,其中待被平面規劃至該3D積體電路之電路區塊之該庫包含3D單片式電路區塊之一庫,其中至少一3D單片式電路區塊包含在一單一半導體晶圓上之二或多個層體中順序地建置之一或多個電組件。
  2. 如請求項1之方法,其進一步包含以下步驟:若該至少一效能目標得到改良,則提供用於該複數個電路區塊中之該至少一者的一第二額外層,且產生該複數個電路區塊中之該至少一者的一第二重新實施,其包括該第二額外層;進一步評估該第二重新實施之該至少一效能目標,以判定該至少一效能目標是否已得到改良;及若該進一步評估步驟之一結果為該至少一效能目標已得到改良,則將該第二重新實施添加至待被平面規劃至該3D積體電路 之3D單片式電路區塊之該庫。
  3. 如請求項2之方法,其進一步包含平面規劃3D單片式電路區塊之該庫至該3D積體電路的步驟。
  4. 如請求項3之方法,其中平面規劃3D單片式電路區塊之該庫之該步驟產生一智慧財產(IP)電路區塊,該IP電路區塊可用於包括該IP電路區塊之一大型電路設計。
  5. 如請求項4之方法,其中平面規劃3D單片式電路區塊之該庫之該步驟產生具有高密度通孔之一網路的一單片式3D電路平面規劃。
  6. 如請求項5之方法,其中高密度通孔之該網路包含層間通孔。
  7. 如請求項3之方法,其中平面規劃3D單片式電路區塊之該庫之該步驟包含使用一模擬退火3D平面規劃引擎而平面規劃3D單片式電路區塊之該庫。
  8. 如請求項3之方法,其進一步包含判定用於3D單片式電路區塊之經平面規劃之該庫之插腳指派,其中插腳指派係藉由以下步驟來判定:判定3D單片式電路區塊之經平面規劃之該庫是否包含一硬巨集;若3D單片式電路區塊之經平面規劃之該庫包含一硬巨集,則接受現有插腳指派;及若3D單片式電路區塊之經平面規劃之該庫包含一軟巨集,則允許插腳處於3D單片式電路區塊之經平面規劃之該庫之每一層上且使用2D分割方法固定該等插腳之一位置。
  9. 如請求項1之方法,其中該3D單片式電路區塊之至少一者包括在一單一半導體晶圓上之至少一電組件。
  10. 如請求項9之方法,其中該單一半導體晶圓係被切成3D積體電 路。
  11. 一種非暫態電腦可讀取儲存媒體,其包含體現電路區塊之一庫之資料,當其被一機器存取時,致使該機器執行下列操作:藉由該機器裝配包含電路區塊之該庫之2D實施及3D實施之複數個電路區塊;提供用於該複數個電路區塊中之至少一者的一第一額外層,且產生該複數個電路區塊中之該至少一者的一第一重新實施,其包括該第一額外層;評估該第一重新實施之至少一效能目標,以判定該至少一效能目標是否已得到改良;及若該評估步驟之一結果為該至少一效能目標已得到改良,則將該第一重新實施添加至待被平面規劃至一3D積體電路之電路區塊之該庫,其中待被平面規劃之電路區塊之該庫包含3D單片式電路區塊之一庫,其中至少一3D單片式電路區塊包含在一單一半導體晶圓上之二或多個層體中順序地建置之一或多個電組件。
  12. 如請求項11之非暫態電腦可讀取儲存媒體,其包含體現電路區塊之該庫之資料,當其被該機器存取時,致使該機器執行下列操作:若該至少一效能目標得到改良,則提供用於該複數個電路區塊中之該至少一者的一第二額外層,且產生該複數個電路區塊中之該至少一者的一第二重新實施,其包括該第二額外層;進一步評估該第二重新實施之該至少一效能目標,以判定該至少一效能目標是否已得到改良;及若該進一步評估步驟之一結果為該至少一效能目標已得到改良,則將該第二重新實施添加至待被平面規劃至該3D積體電路 之3D單片式電路區塊之該庫。
  13. 一種產生待被平面規劃至一三維(3D)積體電路之電路區塊之一庫的設備,該設備包含:用於裝配包含二維(2D)電路實施及3D電路實施之複數個電路區塊之構件;用於提供用於該複數個電路區塊中之至少一者的一第一額外層之構件;用於產生包括該第一額外層之該複數個電路區塊中之該至少一者的一第一重新實施之構件;用於評估該第一重新實施之至少一效能目標,以判定該至少一效能目標是否已得到改良之構件;及用於若用於評估之該構件的一結果為該至少一效能目標已得到改良,則將該第一重新實施添加至待被平面規劃至該3D積體電路之電路區塊之該庫之構件,其中待被平面規劃至該3D積體電路之電路區塊之該庫包含3D單片式電路區塊之一庫,其中至少一3D單片式電路區塊包含在一單一半導體晶圓上之二或多個層體中順序地建置之一或多個電組件。
TW102143578A 2012-11-28 2013-11-28 產生待被平面規劃至一三維(3d)積體電路之電路區塊之一庫的方法及設備及非暫態電腦可讀取儲存媒體 TWI569303B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261730755P 2012-11-28 2012-11-28
US201261730743P 2012-11-28 2012-11-28
US13/792,384 US9064077B2 (en) 2012-11-28 2013-03-11 3D floorplanning using 2D and 3D blocks
US13/792,486 US9098666B2 (en) 2012-11-28 2013-03-11 Clock distribution network for 3D integrated circuit

Publications (2)

Publication Number Publication Date
TW201428813A TW201428813A (zh) 2014-07-16
TWI569303B true TWI569303B (zh) 2017-02-01

Family

ID=50774480

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102143578A TWI569303B (zh) 2012-11-28 2013-11-28 產生待被平面規劃至一三維(3d)積體電路之電路區塊之一庫的方法及設備及非暫態電腦可讀取儲存媒體

Country Status (3)

Country Link
US (1) US9064077B2 (zh)
TW (1) TWI569303B (zh)
WO (1) WO2014085692A2 (zh)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US9385088B2 (en) 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) * 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US9626311B2 (en) * 2015-01-22 2017-04-18 Qualcomm Incorporated Memory controller placement in a three-dimensional (3D) integrated circuit (IC) (3DIC) employing distributed through-silicon-via (TSV) farms
US9483598B2 (en) 2015-02-09 2016-11-01 Qualcomm Incorporated Intellectual property block design with folded blocks and duplicated pins for 3D integrated circuits
WO2016142794A1 (en) 2015-03-06 2016-09-15 Wal-Mart Stores, Inc Item monitoring system and method
US20180099846A1 (en) 2015-03-06 2018-04-12 Wal-Mart Stores, Inc. Method and apparatus for transporting a plurality of stacked motorized transport units
US10239739B2 (en) 2015-03-06 2019-03-26 Walmart Apollo, Llc Motorized transport unit worker support systems and methods
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10372860B2 (en) 2015-07-01 2019-08-06 Synopsys, Inc. Netlist abstraction for circuit design floorplanning
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US9858377B2 (en) 2015-11-10 2018-01-02 International Business Machines Corporation Constraint-driven pin optimization for hierarchical design convergence
US10713406B2 (en) * 2015-11-30 2020-07-14 The Regents Of The University Of California Multi-die IC layout methods with awareness of mix and match die integration
CA2961938A1 (en) 2016-04-01 2017-10-01 Wal-Mart Stores, Inc. Systems and methods for moving pallets via unmanned motorized unit-guided forklifts
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
WO2019136404A1 (en) 2018-01-05 2019-07-11 Todd Petersen Transportation systems and related methods
US10796068B2 (en) * 2018-09-11 2020-10-06 Samsung Electronics Co., Ltd. Standard cell design system, standard cell design optimization method thereof, and semiconductor design system
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10810341B1 (en) * 2019-06-17 2020-10-20 Xilinx, Inc. Method and system for making pin-to-pin signal connections
CN111710644B (zh) * 2020-05-20 2022-01-04 西南科技大学 一种基于硅通孔的三维集成电路布局方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201020835A (en) * 2008-07-30 2010-06-01 Synopsys Inc Method and system for facilitating floorplanning for 3D IC
TW201201016A (en) * 2010-06-22 2012-01-01 Nat Univ Tsing Hua Discontinuous type layer-ID detector for 3D-IC and method of the same

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3593348B2 (ja) 1992-12-29 2004-11-24 富士通株式会社 集積回路
JPH07176688A (ja) 1993-12-20 1995-07-14 Mitsubishi Electric Corp 半導体集積回路
US5495419A (en) * 1994-04-19 1996-02-27 Lsi Logic Corporation Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing
US5724557A (en) 1995-07-10 1998-03-03 Motorola, Inc. Method for designing a signal distribution network
US5760478A (en) 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
US6374200B1 (en) * 1997-02-03 2002-04-16 Fujitsu Limited Layout apparatus for laying out objects in space and method thereof
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US6686623B2 (en) 1997-11-18 2004-02-03 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
US6295636B1 (en) 1998-02-20 2001-09-25 Lsi Logic Corporation RTL analysis for improved logic synthesis
JP4085459B2 (ja) 1998-03-02 2008-05-14 セイコーエプソン株式会社 3次元デバイスの製造方法
US6260182B1 (en) * 1998-03-27 2001-07-10 Xilinx, Inc. Method for specifying routing in a logic module by direct module communication
US6305001B1 (en) 1998-06-18 2001-10-16 Lsi Logic Corporation Clock distribution network planning and method therefor
US6125217A (en) 1998-06-26 2000-09-26 Intel Corporation Clock distribution network
US6483736B2 (en) 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
FR2797713B1 (fr) 1999-08-20 2002-08-02 Soitec Silicon On Insulator Procede de traitement de substrats pour la microelectronique et substrats obtenus par ce procede
JP2001160612A (ja) 1999-12-01 2001-06-12 Takehide Shirato 半導体装置及びその製造方法
US7483329B2 (en) 2000-01-06 2009-01-27 Super Talent Electronics, Inc. Flash card and controller with integrated voltage converter for attachment to a bus that can operate at either of two power-supply voltages
KR100549258B1 (ko) 2000-06-02 2006-02-03 주식회사 실트론 에스오아이 웨이퍼 제조 방법
US6834380B2 (en) * 2000-08-03 2004-12-21 Qualcomm, Incorporated Automated EMC-driven layout and floor planning of electronic devices and systems
US6627985B2 (en) * 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US6754877B1 (en) 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6730540B2 (en) 2002-04-18 2004-05-04 Tru-Si Technologies, Inc. Clock distribution networks and conductive lines in semiconductor integrated circuits
DE10226915A1 (de) * 2002-06-17 2004-01-08 Infineon Technologies Ag Verfahren zum Verändern von Entwurfsdaten für die Herstellung eines Bauteils sowie zugehörige Einheiten
US6979630B2 (en) 2002-08-08 2005-12-27 Isonics Corporation Method and apparatus for transferring a thin layer of semiconductor material
US7209378B2 (en) 2002-08-08 2007-04-24 Micron Technology, Inc. Columnar 1T-N memory cell structure
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US7042756B2 (en) 2002-10-18 2006-05-09 Viciciv Technology Configurable storage device
US6965527B2 (en) 2002-11-27 2005-11-15 Matrix Semiconductor, Inc Multibank memory on a die
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
JP4554152B2 (ja) 2002-12-19 2010-09-29 株式会社半導体エネルギー研究所 半導体チップの作製方法
US6727530B1 (en) * 2003-03-04 2004-04-27 Xindium Technologies, Inc. Integrated photodetector and heterojunction bipolar transistors
US6911375B2 (en) 2003-06-02 2005-06-28 International Business Machines Corporation Method of fabricating silicon devices on sapphire with wafer bonding at low temperature
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7107200B1 (en) 2003-10-03 2006-09-12 Sun Microsystems, Inc. Method and apparatus for predicting clock skew for incomplete integrated circuit design
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7546571B2 (en) * 2004-09-08 2009-06-09 Mentor Graphics Corporation Distributed electronic design automation environment
US20060190889A1 (en) * 2005-01-14 2006-08-24 Cong Jingsheng J Circuit floorplanning and placement by look-ahead enabled recursive partitioning
WO2006135780A1 (en) 2005-06-10 2006-12-21 The Regents Of The University Of California Fast dual-vdd buffer insertion and buffered tree construction for power minimization
WO2007002799A1 (en) * 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US7280397B2 (en) 2005-07-11 2007-10-09 Sandisk 3D Llc Three-dimensional non-volatile SRAM incorporating thin-film device layer
DE102005039365B4 (de) 2005-08-19 2022-02-10 Infineon Technologies Ag Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis
US7663620B2 (en) * 2005-12-05 2010-02-16 Microsoft Corporation Accessing 2D graphic content using axonometric layer views
US20070244676A1 (en) * 2006-03-03 2007-10-18 Li Shang Adaptive analysis methods
US7579654B2 (en) 2006-05-31 2009-08-25 Corning Incorporated Semiconductor on insulator structure made using radiation annealing
KR20080038535A (ko) 2006-10-30 2008-05-07 삼성전자주식회사 스택형 반도체 장치의 제조 방법
US7859117B2 (en) 2007-02-27 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Clocking architecture in stacked and bonded dice
US7669152B1 (en) * 2007-03-13 2010-02-23 Silicon Frontline Technology Inc. Three-dimensional hierarchical coupling extraction
US7739642B2 (en) 2007-05-02 2010-06-15 Cadence Design Systems, Inc. Optimizing integrated circuit design through balanced combinational slack plus sequential slack
US7624364B2 (en) 2007-05-02 2009-11-24 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
US8513791B2 (en) 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US20080291767A1 (en) 2007-05-21 2008-11-27 International Business Machines Corporation Multiple wafer level multiple port register file cell
US7796092B2 (en) * 2007-05-24 2010-09-14 The Boeing Company Broadband composite dipole antenna arrays for optical wave mixing
US7459716B2 (en) 2007-06-11 2008-12-02 Kabushiki Kaisha Toshiba Resistance change memory device
US8046727B2 (en) * 2007-09-12 2011-10-25 Neal Solomon IP cores in reconfigurable three dimensional integrated circuits
US8136071B2 (en) * 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US8059443B2 (en) 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
JP2009164480A (ja) 2008-01-09 2009-07-23 Toshiba Corp 抵抗変化メモリ装置
US7622955B2 (en) 2008-04-17 2009-11-24 Texas Instruments Incorporated Power savings with a level-shifting boundary isolation flip-flop (LSIFF) and a clock controlled data retention scheme
US8218377B2 (en) 2008-05-19 2012-07-10 Stmicroelectronics Pvt. Ltd. Fail-safe high speed level shifter for wide supply voltage range
US8716805B2 (en) 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US8060843B2 (en) 2008-06-18 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Verification of 3D integrated circuits
EP2161755A1 (en) 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
WO2010062644A2 (en) 2008-10-28 2010-06-03 The Regents Of The University Of California Vertical group iii-v nanowires on si, heterostructures, flexible arrays and fabrication
EP2366111A1 (en) 2008-11-13 2011-09-21 Nxp B.V. Testable integrated circuit and test method therefor
US20100140790A1 (en) * 2008-12-05 2010-06-10 Seagate Technology Llc Chip having thermal vias and spreaders of cvd diamond
US8146032B2 (en) * 2009-01-30 2012-03-27 Synopsys, Inc. Method and apparatus for performing RLC modeling and extraction for three-dimensional integrated circuit (3D-IC) designs
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
JP5617835B2 (ja) 2009-02-24 2014-11-05 日本電気株式会社 半導体装置およびその製造方法
US8214790B2 (en) 2009-03-04 2012-07-03 Oracle America Low RC global clock distribution
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8115511B2 (en) 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
WO2010134019A2 (en) * 2009-05-19 2010-11-25 Ramot At Tel Aviv University Ltd. Vertical junction pv cells
US8422273B2 (en) 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US7955940B2 (en) 2009-09-01 2011-06-07 International Business Machines Corporation Silicon-on-insulator substrate with built-in substrate junction
WO2011031949A1 (en) 2009-09-10 2011-03-17 Lockheed Martin Corporation Graphene nanoelectronic device fabrication
US8631055B2 (en) 2009-09-30 2014-01-14 Samplify Systems, Inc. Enhanced multi-processor waveform data exchange using compression and decompression
US8164089B2 (en) 2009-10-08 2012-04-24 Xerox Corporation Electronic device
US8450804B2 (en) * 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8247895B2 (en) * 2010-01-08 2012-08-21 International Business Machines Corporation 4D device process and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
WO2011101463A1 (en) 2010-02-19 2011-08-25 University College Cork - National University Of Ireland, Cork A transistor device
US8450779B2 (en) 2010-03-08 2013-05-28 International Business Machines Corporation Graphene based three-dimensional integrated circuit device
US8315084B2 (en) 2010-03-10 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fully balanced dual-port memory cell
JP5629309B2 (ja) 2010-03-15 2014-11-19 株式会社日立製作所 半導体装置およびそのテスト方法
US20110272788A1 (en) 2010-05-10 2011-11-10 International Business Machines Corporation Computer system wafer integrating different dies in stacked master-slave structures
US8395942B2 (en) * 2010-05-17 2013-03-12 Sandisk Technologies Inc. Junctionless TFT NAND flash memory
US8332803B1 (en) * 2010-06-28 2012-12-11 Xilinx, Inc. Method and apparatus for integrated circuit package thermo-mechanical reliability analysis
US7969193B1 (en) 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
TWI562313B (en) 2010-09-06 2016-12-11 shu lu Chen Electrical switch using a recessed channel gated resistor structure and method for three dimensional integration of semiconductor device
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
CN102754102B (zh) 2010-12-09 2016-02-03 松下电器产业株式会社 三维集成电路的设计支持装置及设计支持方法
US8691179B2 (en) 2011-01-04 2014-04-08 Korea Institute Of Science And Technology Method for fabricating graphene sheets or graphene particles using supercritical fluid
US8409957B2 (en) 2011-01-19 2013-04-02 International Business Machines Corporation Graphene devices and silicon field effect transistors in 3D hybrid integrated circuits
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
JP5684590B2 (ja) 2011-01-28 2015-03-11 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置
FR2972077B1 (fr) 2011-02-24 2013-08-30 Thales Sa Composant electronique, procede de fabrication et utilisation de graphene dans un composant electronique
WO2012119053A1 (en) 2011-03-02 2012-09-07 King Abdullah University Of Science And Technology Cylindrical-shaped nanotube field effect transistor
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
FR2973938A1 (fr) 2011-04-08 2012-10-12 Soitec Silicon On Insulator Procédés de formation de structures semi-conductrices collées, et structures semi-conductrices formées par ces procédés
US8685825B2 (en) 2011-07-27 2014-04-01 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
US8683416B1 (en) 2011-07-28 2014-03-25 Juniper Networks, Inc. Integrated circuit optimization
FR2978605B1 (fr) 2011-07-28 2015-10-16 Soitec Silicon On Insulator Procede de fabrication d'une structure semi-conductrice comprenant une couche fonctionnalisee sur un substrat support
FR2978604B1 (fr) 2011-07-28 2018-09-14 Soitec Procede de guerison de defauts dans une couche semi-conductrice
US8576000B2 (en) 2011-08-25 2013-11-05 International Business Machines Corporation 3D chip stack skew reduction with resonant clock and inductive coupling
US8803233B2 (en) 2011-09-23 2014-08-12 International Business Machines Corporation Junctionless transistor
TWI573198B (zh) 2011-09-27 2017-03-01 索泰克公司 在三度空間集積製程中轉移材料層之方法及其相關結構與元件
US8580624B2 (en) 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
TWI456739B (zh) * 2011-12-13 2014-10-11 Nat Univ Tsing Hua 三維記憶體晶片之控制結構
CN104170091B (zh) 2011-12-28 2017-05-17 英特尔公司 叠置集成电路器件的晶体管的技术和构造
JP5456090B2 (ja) 2012-03-13 2014-03-26 株式会社東芝 半導体装置およびその製造方法
KR20130126036A (ko) 2012-05-10 2013-11-20 삼성전자주식회사 트랜지스터를 구비한 반도체 소자
US8737108B2 (en) * 2012-09-25 2014-05-27 Intel Corporation 3D memory configurable for performance and power
US8701073B1 (en) * 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US9490811B2 (en) * 2012-10-04 2016-11-08 Efinix, Inc. Fine grain programmable gate architecture with hybrid logic/routing element and direct-drive routing
US9098666B2 (en) * 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US20140225218A1 (en) 2013-02-12 2014-08-14 Qualcomm Incorporated Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201020835A (en) * 2008-07-30 2010-06-01 Synopsys Inc Method and system for facilitating floorplanning for 3D IC
TW201201016A (en) * 2010-06-22 2012-01-01 Nat Univ Tsing Hua Discontinuous type layer-ID detector for 3D-IC and method of the same

Also Published As

Publication number Publication date
WO2014085692A3 (en) 2014-10-16
US20140149958A1 (en) 2014-05-29
WO2014085692A2 (en) 2014-06-05
TW201428813A (zh) 2014-07-16
US9064077B2 (en) 2015-06-23

Similar Documents

Publication Publication Date Title
TWI569303B (zh) 產生待被平面規劃至一三維(3d)積體電路之電路區塊之一庫的方法及設備及非暫態電腦可讀取儲存媒體
KR102423040B1 (ko) 3차원 집적회로 디자인을 생성하는 방법
US10997346B2 (en) Conception of a 3D circuit comprising macros
Dong et al. System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs)
Franzon et al. Design and CAD for 3D integrated circuits
Panth et al. High-density integration of functional modules using monolithic 3D-IC technology
Pathak et al. Through-silicon-via management during 3D physical design: When to add and how many?
KR102527749B1 (ko) 3d 집적 회로용 폴딩 블록들 및 복제 핀들을 갖는 지적 재산 블록 설계
Jain et al. Thermal–electrical co-optimisation of floorplanning of three-dimensional integrated circuits under manufacturing and physical design constraints
Nasiri et al. Multiple dice working as one: CAD flows and routing architectures for silicon interposer FPGAs
Xu et al. Clustered fault tolerance TSV planning for 3-D integrated circuits
Knechtel et al. Physical design automation for 3D chip stacks: challenges and solutions
Pangracious et al. Three-Dimensional Design Methodologies for Tree-based FPGA Architecture
TW201826155A (zh) 積體電路、製造其的電腦實施方法以及定義其的標準元件
Jang et al. TSV repair architecture for clustered faults
Minz et al. Block-level 3-D global routing with an application to 3-D packaging
Jagtap et al. A methodology for early exploration of TSV placement topologies in 3D stacked ICs
Zou et al. Cost-driven 3D design optimization with metal layer reduction technique
Milojevic et al. Pathfinding: A design methodology for fast exploration and optimisation of 3D-stacked integrated circuits
Yan Single-Layer Obstacle-Aware Multiple-Bus Routing Considering Simultaneous Escape Length
Fischbach et al. 3D Physical design: Challenges and solutions
Bazaz Design Exchange Formats for Assessing Ohmic Drops and Thermal Profiles in Three Dimensional Integrated Circuits
Chakravarthi et al. Question Bank
Pangracious et al. Physical Design and Implementation of 3D Tree-Based FPGAs
Zhu et al. Design Automation Needs for Monolithic 3D ICs: Accomplishments and Gaps

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees