CN107025322A - 电源域优化 - Google Patents

电源域优化 Download PDF

Info

Publication number
CN107025322A
CN107025322A CN201611164288.1A CN201611164288A CN107025322A CN 107025322 A CN107025322 A CN 107025322A CN 201611164288 A CN201611164288 A CN 201611164288A CN 107025322 A CN107025322 A CN 107025322A
Authority
CN
China
Prior art keywords
circuit
domain
power
power domain
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201611164288.1A
Other languages
English (en)
Other versions
CN107025322B (zh
Inventor
阿杰伊·卡谱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP BV
Original Assignee
NXP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP BV filed Critical NXP BV
Publication of CN107025322A publication Critical patent/CN107025322A/zh
Application granted granted Critical
Publication of CN107025322B publication Critical patent/CN107025322B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • H03K19/017509Interface arrangements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F3/00Non-retroactive systems for regulating electric variables by using an uncontrolled element, or an uncontrolled combination of elements, such element or such combination having self-regulating properties
    • G05F3/02Regulating voltage or current
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/3058Monitoring arrangements for monitoring environmental properties or parameters of the computing system or of the computing system component, e.g. monitoring of power, currents, temperature, humidity, position, vibrations
    • G06F11/3062Monitoring arrangements for monitoring environmental properties or parameters of the computing system or of the computing system component, e.g. monitoring of power, currents, temperature, humidity, position, vibrations where the monitored property is the power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Abstract

一个例子公开了一种用于电源管理的设备,该设备包括:电路,其具有第一电源域和第二电源域;其中,该第一电源域和该第二电源域包括一组操作参数值;电路控制器,其被配置成递增地扫描该第一电源域的该等操作参数值中的至少一个操作参数值;电路分析器,其被配置成基于该电路对所扫描的该等操作参数值的响应来推导该电路的总功率消耗分布;其中,该电路控制器基于该电路的该总功率消耗分布来设定该第一电源域和该第二电源域的操作参数值。

Description

电源域优化
依据37CFR§1.57以引用的方式并入
本说明书在本文中以引用的方式并入于2015年12月17日提交的待公布的美国专利申请案第14973575号。
技术领域
本说明书涉及用于电源管理的系统、方法、设备、装置、制品和指令。
背景技术
电路设计可常常被划分成多个电源域。根据划分,可创建具有多供应电压(MSV)的平坦电源域或采用充电再循环的堆叠电源域。
然而,划分和分配电压到这些电源域中的每个电源域可能是复杂的、昂贵的和费时的过程,需要广泛的基础结构支持。
此类划分电路的功率消耗常常不理想并且可能耗尽电池和/或不必要地增加电力消耗。
发明内容
根据示例实施例,一种用于电源管理的设备,包括:具有第一电源域和第二电源域的电路;其中,第一电源域和第二电源域包括一组操作参数值;电路控制器,其被配置成递增地扫描第一电源域的操作参数值中的至少一个操作参数值;以及电路分析器,其被配置成基于电路对所扫描的操作参数值的响应来推导电路的总功率消耗分布;其中,电路控制器基于电路的总功率消耗分布设定第一电源域和第二电源域的操作参数值。
在另一示例实施例中,该组操作参数包括以下各项中的至少一个: 操作电压、操作电流、操作延迟时间、操作频率或操作任务。
在另一示例实施例中,电路控制器设定第一电源域和第二电源域的操作电压值,以最小化以下各项中的至少一个:总电路电流、电路的总功率消耗或总电路延迟。
在另一示例实施例中,在电路操作期间实时执行递增扫描。
在另一示例实施例中,电源域在以下各项中的至少一个中被配置:平坦配置或堆叠配置。
在一个示例实施例中,电路控制器为自适应相对电压频率缩放(ARVFS)控制器。
在另一示例实施例中,VDDA为第一电源域的操作电压并且IA为第一电源域的操作电流;VDDB为第二电源域的操作电压并且IB为第二电源域的操作电流;k1=IA/VDDA,k2=IB/VDDB;并且,电路分析器被配置成基于公式I-总=k1*VDDA+k2*VDDB推导总功率消耗分布。
在另一示例实施例中,电路分析器被配置成基于电路对操作参数值的递增扫描的响应推导电路的总时序延迟分布;并且,电路控制器还基于电路的总时序延迟分布设定第一电源域和第二电源域的操作参数值。
在另一示例实施例中,VDDA为第一电源域的操作电压,并且VDDB为第二电源域的操作电压;TA为第一电源域的操作延迟时间,并且TB为第二电源域的操作延迟时间;Vth为阈值电压,并且“α”为速度饱和指数;m1=TA*(VDDA-Vth)α/VDDA,并且m2=TB*(VDDB-Vth)α/VDDB;以及电路分析器被配置成基于公式Tcritical=m1*VDDA/(VDDA-Vth)α+m2*VDDB/(VDDB-Vth)α推导总时序延迟分布。
在另一示例实施例中,另外包括用于转换在第一电源域和第二电源域之间交换的一组信号的一组电平移位器;电路控制器将电平移位器定位在电路中的第一组位置处;电路分析器被配置成使用定位在第一组位置处的该组电平移位器推导电路的第一总功率消耗分布;电路控制器将电平移位器定位在电路中的第二组位置处;电路分析器被配置成使用定位在第二组位置处的该组电平移位器推导电路的第二总功率消耗分布; 并且电路控制器基于电路的总功率消耗分布控制该组电平移位器位置。
在另一示例实施例中,电路控制器控制该组电平移位器位置,使得电路的总功率消耗最小化。
根据另一示例实施例,一种用于在具有第一电源域和第二电源域的电路中的电源管理的方法,其中,第一电源域和第二电源域包括一组操作参数值,该方法包括:递增地扫描第一电源域的操作参数值中的至少一个操作参数值;基于电路对所扫描的操作参数值的响应推导电路的总功率消耗分布;以及基于电路的总功率消耗分布来设定第一电源域和第二电源域的操作参数值。
根据又一示例实施例,一种制品包括至少一个非暂时性有形的机器可读存储媒体,其包含用于电源管理的可执行机器指令,该制品包括:;其中,该物品包括具有第一电源域和第二电源域的电路;其中,第一电源域和第二电源域包括一组操作参数值;其中,该指令包括递增地扫描第一电源域的操作参数值中的至少一个操作参数值;基于电路对所扫描的操作参数值的响应推导电路的总功率消耗分布;以及基于电路的总功率消耗分布来设定第一电源域和第二电源域的操作参数值。
以上论述并不意图表示当前或将来权利要求集的范围内的每一示例实施例或每一实施方案。以下附图和具体实施方式还举例说明了各种示例实施例。
考虑结合附图的以下具体实施方式可更全面地理解各种示例实施例,在附图中:
附图说明
图1为在具有或不具有电源域划分的电路设计中的关键路径延迟的数据流和时序图的一个例子。
图2为在平坦划分的电源域设计中的关键路径延迟的一个示例数据流和时序图。
图3为在堆叠划分的电源域设计中的关键路径延迟的一个示例数据流和时序图。
图4:为用于实现电源域优化的指令的第一示例组。
图5:为用于实现电源域优化的指令的第二示例组。
图6:为用于实现电源域优化的指令的第三示例组。
图7A、图7B和图7C示出平坦电源域电路和堆叠电源域电路的EDA设计仿真结果的第一示例组。
图8A、图8B和图8C示出平坦电源域电路和堆叠电源域电路的EDA设计仿真结果的第二示例组。
图9为用于托管实现电源域优化设备的指令的示例系统。
虽然本公开容许各种修改和可替换形式,但其细节已经以举例的方式在附图中示出且将详细地描述。然而,应当理解,超出所描述的特定实施例的其它实施例也是可能的。也涵盖落在所附权利要求书的精神和范围内的所有修改、等效物和可替换实施例。
具体实施方式
本文论述的是用于将电路设计划分成多个电源域,并且然后将标称电压和实时电压两者分配到此类电源域中的每个电源域的设计和控制技术。
这些技术可应用到任何数目的平坦电源域或堆叠电源域,或者平坦电源域和堆叠电源域的某种组合。
以下论述的技术可在设计阶段和在动态实时操作电路控制阶段期间加以应用。在设计阶段的技术还可并入用于EDA工具的设计流和算法中。
在设计阶段(即,初始设计优化)期间,执行电源域的理想划分(即,域间信号电平移位器的定位),以及标称电源域电压的理想分配。
在实时操作阶段期间,使用用于电压、频率和/或任务缩放的软件或嵌入式硬件控制器进行理想的动态电源域电压分配。在本文中,任务被定义为包括一组逻辑运算、一组软件指令或由电源域中的至少一个电源域执行的其它活动。在一个示例实施例中,控制器为自适应相对电压频 率缩放(ARVFS)控制器。
在一个示例实施例中,操作阶段优化技术被嵌入硬件中,而在另一示例实施例中,使用计算机化软件来实施这些技术。动态电压和频率缩放(DVFS)技术可以用于其它示例实施例。
虽然关于用于多个电源域的电压分配提出以下论述,但优化算法还可被扩展到在设计和应用中调节基板偏压。
图1为在具有或不具有电源域划分的电路设计中的关键路径延迟102(即,Tcritical)的一个示例数据流和时序图100。
数字电路设计的速度的特征在于其寄存器到寄存器延迟(即,关键路径延迟102)。Tcritical102通过数据可在两个寄存器/触发器104和106之间传送的速度确定。Tcritical通过寄存器/触发器104、106之间的组合逻辑108增加。
图2为在多供应电压(MSV)划分的电源域设计(在本文档中从此处起也被称为平坦设计)中的关键路径延迟202(即,Tcritical)的一个示例数据流和时序图200。在此例子中,使用多供应电压(MSV)(即,平坦)设计,Tcritical路径跨越两个电源域(例如,电源域A 204和电源域B 206)。每个电源域具有其自己的电压轨(即,VDDA 208和VDDB 210)。
当电源域的电压电平(VDDA、VDDB)不同时,需要使用信号电压电平移位器212来转换(即,电平移位)在两个电源域之间传输的电路信号。
在此,假设此类电平移位器212相比于总体平坦设计具有可忽略的开销时序延迟和电源需求,然后:
Tcritical=TA+TB...公式1
其中,TA和TB分别为每个电源域A和B中的关键时序路径的时序延迟贡献。
在该平坦电源域设计中消耗的总电流(Itotal)等于在每个电源域A和B中消耗的电流的总和。
Itotal=IA+IB...公式2
因此,对于平坦电源域设计,功率消耗优化是基于电源域A和电源域B消耗的总电流(即,IA+IB)。
图3为在堆叠划分的电源域设计中的关键路径延迟302的一个示例数据流和时序。
在堆叠电源域设计中,从电力供应消耗的电流为任一电源域的最大电流(即,Itop或Ibottom的最大值或者IA或IB的最大值)。
出于该论述的目的,“电源域顶部304”与“电源域A”相同,并且“电源域底部306”与“电源域B”相同。电压VDD顶部308被分配给电源域顶部304,并且电压VDD底部310被分配给电源域底部306。一组电平移位器312接合在顶部电源域和底部电源域之间传输的信号。
对于堆叠电源域,功率消耗优化的一个例子是基于最小化Itop和Ibottom的最大值。
因此,公式1和公式2按如下重写:
Itotal=MAX(IA/Itop,IB/Ibottom)...公式3;或者
Tcritical=TA/Ttop+TB/Tbottom...公式4
图4:为用于实现电源域优化的指令的第一示例组。在该第一例子中,使用标称电压缩放来最小化用于平坦或堆叠电源域设计的Tcritical和Itotal。
对指令进行论述的次序并不限制其它示例实施例实施该指令的次序,除非以其它方式明确陈述。另外,在一些实施例中,并行实施该指令。
在402中,将电路划分成一组电源域。这是设计阶段的一部分(即,设计探索阶段),由此选择电压电平移位器(LS)的初始的一组位置。
在一个例子中,电平移位器位于特定IP块和/或子系统的边界处,(例如,在高速子系统和低速子系统之间的边界处)。
在基于示例MCU的设计中,边界可位于存储器子系统和CPU或其它逻辑子系统之间,该存储器子系统以较低电压操作,该CPU或其它逻辑子系统以较高电压操作,或反之亦然(通常,存储器处于比逻辑/CPU更高的电压,因为存储器电压并不与逻辑电压一样多地缩放)。
用于最小化与此类电平移位器相关联的时序和电流开销的技术为通过最小化电平移位器单元的数目。
在404中,选择用于该组电源域的初始的一组标称电力供应电压。
在406中,电路分析器(例如,电路逻辑、微控制器或计算机)计算Tcritical,Itotal、IA(电源域A电流)和IB(电源域B电流)被计算。在一个例子中,该计算使用一组基础结构组件(例如,包含时序和电源信息的库)来完成。在一些情况下,用于生成和支持此类基础结构组件的财务成本相当高,并且需要某些支持团队和附加工具。根据这些库,所支持的基础结构组件可仅限于几个电源域电压(例如,VDDA和VDDB),并且电路设计闭合可能需要来自设计团队的附加手动输入。
在408中,选择用于该组电源域的不同的一组标称电力供应电压。
在410中,使用该不同组标称电力供应电压执行在406中所描述的计算。然后,将这些较新(即,指令410)组计算(与先前(即,指令406)组计算(进行比较。
在指令408和410之间执行迭代,直至通过最小化总电路功率消耗将电路优化(即,最小化Tcritical或Itotal或该两者,如公式5A或5B所示)。
对于恒定的Tcritical最小化Itotal...公式5A
对于恒定的Itotal最小化Tcritical...公式5B
在412中,将最终的一组标称电力供应电压分配给该组电源域。
图5:为用于实现电源域优化的指令的第二示例列表。在该第二例子中,使用可变划分(即,改变一个或多个信号电平移位器的位置)和标称电压缩放来最小化用于平坦或堆叠电源域设计的Tcritical和Itotal。
对指令进行论述的次序并不限制其它示例实施例实施该指令的次序,除非以其它方式明确陈述示例实施例。另外,在一些实施例中,并行实施该指令。
指令502、指令504、指令506、指令508和指令510类似于更早论述的指令402、指令404、指令406、指令408和指令410。
接下来在512中,检查是否存在另一组可能的电平移位器(LS)位 置。
如果另一组电平移位器位置是可能的,那么在514中,使用EDA设计工具在逻辑上将电平移位器移动到此其它位置,并且重复指令504、指令506、指令508和指令510。
在512中,如果不存在其它可能组电平移位器位置,那么在516中,选择对应于最低总电路功率消耗、最低Tcritical或两者的某种组合的一组电平移位器位置。
在518中,将最终的一组标称电力供应电压分配给对应于所选组电平移位器位置的该组电源域。
该设计方法的示例情况还依赖于更早提到的基础结构组件限制并且经受类似的限制。并且,在一些例子中,该设计方法可仅优化独立电源域内的功率消耗而非整个电路的功率消耗。例如,在一些情况下,这些技术将同等地缩放所有电源域的电压或仅缩放电源域中的一个电源域的电压。
图6:为用于实现电源域优化的指令的第三示例列表。在该第三例子中,使用可变划分和标称与实时电压缩放两者最小化用于平坦或堆叠电源域的Tcritical或Itotal。因此,在设计阶段期间以及实时动态操作阶段期间均可应用这些算法。
示例实施例对指令进行论述的次序并不限制其它示例实施例实施该指令的次序,除非以其它方式明确陈述。另外,在一些实施例中,并行实施该指令。
与以上用于图4和图5的基础结构组件(例如,包含时序和电源信息的库)不同,现在提出可替换的更简单和更快的方法来估计/计算Tcritical、Itotal、IA和IB。该更简单和更快的方法实现在电路操作时响应于动态变化的实时电压缩放。
开始,公式6和公式7用于表示数字系统中的延迟和电流消耗。
延迟(T)=K*VDD/(VDD-Vth)α...公式6
在公式6中,“Vth”为阈值电压,“K”为比例常数并且“α”为速度饱和指数(1<α<2)。对于<90nm,α→1-1.3。
电流(I)=a*C*VDD*f...公式7
在公式7中,“a”:活动,“C”:本征电容,“VDD”:电压,以及“f”:频率。应当注意,Vth为阈值电压,90nm指的是CMOS技术(即,栅极长度)。动态功率(例如,切换功率)为每当发生变换时在每个CMOS逻辑单元的输出处对电容负载进行充电和放电时耗散的功率。历史上,动态功率已经成为功率耗散的主要组成部分,表示为:
P_动态=1/2*a*f*VDD*VDD*C...公式7-2
其中,“a”为在每个时钟周期中的输出变换的平均数目。其通常小于1,并且因此常常还被定义为在时钟周期中的输出变换的概率;f为时钟频率;C为负载电容。这可被扩展用于SOC,其中“a”与平均切换活动相关;C为数字的总本征电容(切换部分,例如,CPU)。公式7可以通过将功率耗散除以电压推导出,并且还将1/2合并到“a”中。
基于以上公式,Tcritical可以写成公式8。
Tcritical=m1*VDDA/(VDDA-Vth)α+m2*VDDB/(VDDB-Vth)α...公式8
在公式8中,m1、m2为基于设计实现的比例常数。
m1=TA*(VDDA-Vth)α/VDDA...公式9
m2=TB*(VDDB-Vth)α/VDDB...公式10
基于以上公式,Itotal可以写成公式11。
Itotal=k1*VDDA+k2*VDDB...公式11
在公式11中,k1、k2为基于电路设计的比例常数,并且假设每个电源域将以固定频率操作。
k1=IA/VDDA...公式12
k2=IB/VDDB...公式13
公式8和公式11用于在设计阶段或实时操作阶段期间优化总体系统性能,并且相比于图4和图5所描述的方法使用较少的计算资源和较少的基础结构组件或不使用基础结构组件。
在602中,将电路划分成初始的一组电源域。这为设计阶段的一部分。
在604中,通过电路控制器(例如,电路逻辑、微控制器或计算机)选择用于该组电源域的初始的一组标称电力供应电压。
在606中,电路分析器(例如,电路逻辑、微控制器或计算机)估计或计算操作参数Tcritical、Itotal、IA(第一电源域(例如,A)电流)和IB(第二电源域(例如,B)电流)的值。应当注意,Tcritical=TA+TB,其中TA为第一电源域的延迟时间,并且TB为第二电源域的延迟时间。
操作参数可使用以上的公式8至公式13来估计。此估计是可接受的,因为在一个例子中,仅需要成比例可靠数字用于计算初始系统参数。例如,在VDDA、VDDB的初始值处的估计例如例如TA=0.6*Tcritical以及IA=0.3*Itotal是可接受的。
如果估计是不可能的,那么如图4的所论述指令406来计算操作参数值。估计可需要插入几个不同的VDD以创建线性公式。如果可供使用的基础结构(如时序库)不可用,那么另一可替换方案为仅计算关键路径的仿真或者参考由EDA工具生成的设计时序报告。
在608中,使用电路控制器使操作参数中的至少一个操作参数的值(例如第一电源域的VDDA)递增地扫描过一定范围的电压(例如,0V直到第一电源域的最大操作电压)。
在610中,对于每个特定的递增VDDA电压,使用电路分析器推导出TA、TB、Tcritical、IA、IB、Itotal和VDDB的操作参数值。应当注意,在设计阶段期间,使用两个电源域的仿真版本利用公式8至公式13计算这些参数值。然而,在操作阶段期间,使用来自两个电源域的实际硬件电路的实际测量信号值利用公式8至公式13推导这些参数值。
然后,响应于VDDA增量,在612中将每组操作参数值与先前组操作参数值进行比较。在指令608和指令612之间执行迭代,直至通过最小化总电路功率消耗(即,Itotal)、最小化Tcritical或最小化二者的某种组合将电路优化。
在614中,验证Tcritical、Itotal、IA和IB,使得循环不会无限地迭代。然而,在示例实施例中,如果所得的功率消耗小于先前的功率消耗,那么继续进行迭代。当功率消耗开始增加时,可执行多几次(例如,三 次)迭代以滤除噪音的影响,并且确保以可靠的方式达到最小值。
接下来在616中,在设计阶段,EDA设计软件检查是否存在另一组可能的电平移位器(LS)位置。
如果另一组电平移位器位置是可能的,那么在618中,使用EDA设计工具在逻辑上将电平移位器移动到此其它位置,并且重复指令604、指令606、指令608、指令610和指令612。
如果在616中不存在其它可能组电平移位器位置,那么在620中,选择对应于最低总电路功率消耗、最低Tcritical或两者的某种组合中任一者的该组电平移位器位置。
在622中,由电路控制器将最终的一组标称电力供应电压分配给对应于所选的该组电平移位器位置的该组电源域。
因此,鉴于以上论述,通过使用公式8和公式11实现了相比于与基础结构组件(例如,包含时序和电源信息的库)一起使用的方法计算强度小并且成本低的方法。由于这种更加简化的方法,既可在设计阶段期间测试更宽范围的设计选项又可在操作阶段期间实时实施这些设计选项。
图7A、图7B和图7C示出平坦电源域电路和堆叠电源域电路的EDA设计仿真结果的第一示例组。在该第一示例组中,将平坦或堆叠电路的操作条件初始化为一组电流和电压(例如,IA0=1;IB0=2;VDDA0=0.7;VDDB0=1;Vth=0.4;TA0=1;并且TB0=0.1)。
然后,将电源域A的电压(VDDA0)从0V扫描至大约1.7V,同时两个电源域的总延迟时间均保持恒定(即,Tcritical=1.1)。
图7A示出VDDA电压扫描对两个电源域的时序延迟(即,TA、TB)的影响的示例曲线图。
图7B示出VDDA电压扫描对电源域B的电压(VDDB)的影响的示例曲线图。
图7C示出VDDA电压扫描对平坦和堆叠组电源域两者的电流消耗(Itotal)的影响的示例曲线图。在图7C中,对于给定的Ttotal,清晰的最小值在总电流消耗曲线中可见。然后,可推导出总电路功率消耗(即, 功率=Itotal*VDD总)。
图8A、图8B和图8C示出平坦电源域电路和堆叠电源域电路的EDA设计仿真结果的第二示例组。在该第二示例组中,将平坦或堆叠电路的操作条件初始化为一组电流和电压(例如,IA0=1;IB0=2;VDDA0=0.7;VDDB0=i;Vth=0.4;TA0=1;并且TB0=0.7)。
然后,再次将电源域A的电压(VDDA0)从0V扫描至大约1.7V,同时两个电源域的总延迟时间均保持恒定(即,Tcritical=1.7)。
图8A示出VDDA电压扫描对两个电源域的时序延迟(即,TA、TB)的影响的示例曲线图。
图8B示出VDDA电压扫描对电源域B的电压(VDDB)的影响的示例曲线图。
图8C示出VDDA电压扫描对平坦和堆叠组电源域两者的电流消耗(Itotal)的影响的示例曲线图。再次,在图8C中,对于给定的Ttotal,清晰的最小值在总电流消耗曲线中可见。
图7和图8之间的比较指示,对于平坦或堆叠电源域电路没计,针对待最小化的Tcritical和Itotal两者,VDDA初始应为大约0.7V,并且VDDB应为大约1V。
在实时动态电路操作期间,类似的VDDA电压扫描例程可用于表征电路,并且可用于实时响应于在每个电源域的任务/逻辑/软件加载中的变化而类似地选择特定的VDDA和VDDB值。在设计时间和运行时间期间的此电压缩放自适应功能最佳地在保持在电路中的低功率消耗。
现在关于在逻辑设计顶部上的MoTL堆叠存储器论述先前提出的设计阶段和操作阶段步骤的一个示例应用。
第一,清晰地划分总体电路设计,使得电平移位器保持在其操作极限(例如,功率消耗和硅面积开销)内,并且此每个电源域对于任务范围、逻辑或软件应用具有类似的功率消耗要求。
应当注意,如果将电路设计划分成不交互的电源域(即,不交换信号),那么不需要或最低限度地需要电平移位。
然而,如果将电路设计划分成交互的电源域(例如,MoTL设计), 那么需要电平移位器。
很好地选择的一组电源域划分简化了在电源域优化的实时操作阶段期间执行的开销计算。
第二。该划分创建了一组具有不同功率消耗电平的电源域。
通用微控制器设计在动态操作期间在CPU电源域中消耗较多功率,而在待用模式中,存储器电源域消耗较多功率,因为CPU逻辑的大部分可以断电。
在使用微控制器单元(MCU)的示例物联网(IOT)电路设计应用中,当两个电源域均以相同电压(例如,对于40nm技术为1V)进行操作时,存储器消耗大约30%至40%的功率,而微控制器逻辑消耗60%至70%的功率。同时关键路径延迟可在CPU和SRAM之间,其中,逻辑负责30%至40%的关键延迟,并且存储器负责60%至70%的关键延迟。例如,对于100MHz的MCU设计(10ns时序/时钟周期)并且具有存储器速度(在C40中~130MHz)
第三。减小用于CPU/MCU/逻辑的下个VDDA,同时SRAM/存储器保持在1V。这使CPU/MCU/逻辑时序延迟增加但确实减少了功率消耗。为不使总体电路设计更慢,用于SRAM/存储器电源域的VDDB增大,这减小了在SRAM/存储器电源域中的时序延迟。
虽然以上例子已经描述了电压缩放应用,但类似的技术可应用于基板偏压。
图9为用于托管实现电源域优化设备的指令的示例系统900。系统900示出与计算装置904(例如,控制器)接合的输入/输出数据902。计算装置904包括处理器装置906、存储装置908和机器可读存储媒体910。机器可读存储媒体910内的指令912使用存储装置908内的数据来控制处理器906如何解译和变换输入数据902。在可替换的示例实施例中,机器可读存储媒体为计算机可读存储媒体。
存储于机器可读存储媒体910中的各种示例组指令包括图4、图5和图6所示的那些指令。
处理器(例如,中央处理单元、CPU、微处理器、专用集成电路(ASIC) 等)控制存储装置(例如,用于临时数据存储的随机存取存储器(RAM)、用于永久性数据存储的只读存储器(ROM)、固件、闪存存储器、外置和内置硬盘驱动器等)的总体操作。处理器装置使用总线与存储装置和非暂时性机器可读存储媒体通信,并执行实施存储在机器可读存储媒体中的一个或多个指令的操作和任务。在可替换的示例实施例中,机器可读储存媒体为计算机可读存储媒体。
除非明确陈述特定次序,否则可以以任何次序执行以上附图中的指令和/或流程图步骤。而且,本领域的技术人员应当认识到,尽管已经论述指令/方法的一个示例组,但是本说明书中的材料可通过多种方式组合,从而还产生其它例子,并且应在该详细描述所提供的上下文内来理解。
在一些示例实施例中,上述的该组指令被实施为功能和软件指令,其具体实施为在非暂时性计算机可读或计算机可用媒体中的一组可执行指令,该非暂时性计算机可读或计算机可用媒体在用所述可执行指令编程并且受到所述可执行指令控制的计算机或机器上实行。所述指令被加载用于在处理器(例如,一个或多个CPU)上执行。所述处理器包括微处理器、微控制器、处理器模块或子系统(包括一个或多个微处理器或微控制器)或其它控制或计算装置。处理器可以指的是单个组件或多个组件。所述计算机可读或计算机可用存储媒体被视为物品(或制品)的一部分。物品或制品可以指的是任何所制造的单个组件或多个组件。如本文所定义的非暂时性机器或计算机可用媒体不包括信号,但此媒体能够接收和处理来自信号和/或其它暂时性媒体的信息。
本说明书中论述的材料的示例实施例可整体或部分通过网络、计算机或基于数据的装置和/或服务实施。这些可以包括云、因特网、内联网、移动装置、台式计算机、处理器、查找表、微控制器、消费者设备、基础结构,或其它支持装置和服务。如本文和权利要求书中可使用,提供以下非排他性定义。
在一个例子中,使本文论述的一个或多个指令或步骤自动化。术语“自动化”或“自动地”(及其类似变型)意味着使用计算机和/或机械/电气装置控制设备、系统和/或过程的操作,而不需要人类干预、观测、努力和/或决策。
在本说明书中,已经依据所选的一组细节提出了示例实施例。然而,本领域的普通技术人员应当理解,可以实践包括这些细节的不同所选组的许多其它示例实施例。希望所附权利要求书涵盖所有可能的示例实施例。

Claims (10)

1.一种用于电源管理的设备,其特征在于,包括:
电路,所述电路具有第一电源域和第二电源域;
其中,所述第一电源域和所述第二电源域包括一组操作参数值;
电路控制器,所述电路控制器被配置成递增地扫描所述第一电源域的所述操作参数值中的至少一个操作参数值;以及
电路分析器,所述电路分析器被配置成基于所述电路对所述扫描的操作参数值的响应来推导所述电路的总功率消耗分布;
其中,所述电路控制器基于所述电路的所述总功率消耗分布来设定所述第一电源域和所述第二电源域的所述操作参数值。
2.根据权利要求1所述的设备,其特征在于:
其中,所述组操作参数包括以下各项中的至少一个:操作电压、操作电流、操作延迟时间、操作频率或操作任务。
3.根据权利要求2所述的设备,其特征在于:
其中,所述电路控制器设定所述第一电源域和所述第二电源域的所述操作电压值,以最小化以下各项中的至少一个:总电路电流、所述电路的所述总功率消耗或总电路延迟。
4.根据权利要求1所述的设备,其特征在于:
其中,在电路操作期间实时执行所述递增扫描。
5.根据权利要求1所述的设备,其特征在于:
其中,所述电源域在以下各项中的至少一个中被配置:平坦配置或堆叠配置。
6.根据权利要求1所述的设备,其特征在于:
其中,所述电路控制器为自适应相对电压频率缩放(ARVFS)控制器。
7.根据权利要求1所述的设备,其特征在于:
其中,VDDA为所述第一电源域的操作电压并且IA为所述第一电源域的操作电流;
其中,VDDB为所述第二电源域的操作电压并且IB为所述第二电源域的操作电流;
其中,k1=IA/VDDA,k2=IB/VDDB;且
其中,所述电路分析器被配置成基于公式I总=k1*VDDA+k2*VDDB推导所述总功率消耗分布。
8.根据权利要求1所述的设备,其特征在于:
其中,所述电路分析器被配置成基于所述电路对所述操作参数值的所述递增扫描的响应推导所述电路的总时序延迟分布;且
其中,所述电路控制器还基于所述电路的所述总时序延迟分布来设定所述第一电源域和所述第二电源域的所述操作参数值。
9.根据权利要求1所述的设备,其特征在于:
另外包括用于转换在所述第一电源域和所述第二电源域之间交换的一组信号的一组电平移位器;
其中,所述电路控制器将所述电平移位器定位在所述电路中的第一组位置处;
其中,所述电路分析器被配置成使用定位在所述第一组位置处的所述组电平移位器推导所述电路的第一总功率消耗分布;
其中,所述电路控制器将所述电平移位器定位在所述电路中的第二组位置处;
其中,所述电路分析器被配置成使用定位在所述第二组位置处的所述组电平移位器推导所述电路的第二总功率消耗分布;以及
其中,所述电路控制器基于所述电路的所述总功率消耗分布控制所述组电平移位器位置。
10.一种用于在具有第一电源域和第二电源域的电路中的电源管理的方法,其特征在于,所述第一电源域和所述第二电源域包括一组操作参数值,所述方法包括:
递增地扫描所述第一电源域的所述操作参数值中的至少一个操作参数值;
基于所述电路对所述扫描的操作参数值的响应推导所述电路的总功率消耗分布;以及
基于所述电路的所述总功率消耗分布来设定所述第一电源域和所述第二电源域的所述操作参数值。
CN201611164288.1A 2015-12-17 2016-12-15 用于电源管理的设备和方法 Active CN107025322B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/973,616 2015-12-17
US14/973,616 US9960769B2 (en) 2015-12-17 2015-12-17 Power-domain optimization

Publications (2)

Publication Number Publication Date
CN107025322A true CN107025322A (zh) 2017-08-08
CN107025322B CN107025322B (zh) 2022-04-15

Family

ID=57680071

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611164288.1A Active CN107025322B (zh) 2015-12-17 2016-12-15 用于电源管理的设备和方法

Country Status (3)

Country Link
US (1) US9960769B2 (zh)
EP (1) EP3182249B1 (zh)
CN (1) CN107025322B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111381654A (zh) * 2018-12-29 2020-07-07 成都海光集成电路设计有限公司 负载探测电路、soc系统、及负载探测电路的配置方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535394B2 (en) 2017-07-20 2020-01-14 Samsung Electronics Co., Ltd. Memory device including dynamic voltage and frequency scaling switch and method of operating the same
US10664035B2 (en) * 2017-08-31 2020-05-26 Qualcomm Incorporated Reconfigurable power delivery networks
US11455450B1 (en) * 2021-06-03 2022-09-27 Cadence Design Systems, Inc. System and method for performing sign-off timing analysis of electronic circuit designs

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070011643A1 (en) * 2003-09-19 2007-01-11 Cadence Design Systems, Inc. Optimized mapping of an integrated circuit design to multiple cell libraries during a single synthesis pass
US7551985B1 (en) * 2006-10-30 2009-06-23 Cadence Design Systems, Inc. Method and apparatus for power consumption optimization for integrated circuits
US7594208B1 (en) * 2003-07-22 2009-09-22 Altera Corporation Techniques for automated sweeping of parameters in computer-aided design to achieve optimum performance and resource usage
CN101802749A (zh) * 2007-06-04 2010-08-11 Nxp股份有限公司 电源管理集成电路
US20120054511A1 (en) * 2010-08-31 2012-03-01 Sonics, Inc Intelligent power controller
CN104885085A (zh) * 2012-11-28 2015-09-02 高通股份有限公司 跨电源域的数据传输

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3300593B2 (ja) 1995-06-15 2002-07-08 株式会社東芝 半導体集積回路装置
US6479974B2 (en) 2000-12-28 2002-11-12 International Business Machines Corporation Stacked voltage rails for low-voltage DC distribution
US6759872B2 (en) 2002-03-14 2004-07-06 Koninklijke Philips Electronics N.V. I/O circuit with mixed supply voltage capability
US7329968B2 (en) 2003-05-08 2008-02-12 The Trustees Of Columbia University In The City Of New York Charge-recycling voltage domains for energy-efficient low-voltage operation of digital CMOS circuits
US7702929B2 (en) 2004-11-29 2010-04-20 Marvell World Trade Ltd. Low voltage logic operation using higher voltage supply levels
CN101784876A (zh) 2007-08-02 2010-07-21 米利波尔公司 采样系统
US8200990B2 (en) 2007-12-22 2012-06-12 International Business Machines Corporation Apparatus, system, and method for a high efficiency redundant power architecture
US7984317B2 (en) 2008-03-24 2011-07-19 Apple Inc. Hardware-based power management of functional blocks
US8174288B2 (en) 2009-04-13 2012-05-08 International Business Machines Corporation Voltage conversion and integrated circuits with stacked voltage domains
US8476962B2 (en) 2009-11-18 2013-07-02 Freescale Semiconductor, Inc. System having multiple voltage tiers and method therefor
US7982498B1 (en) * 2010-05-18 2011-07-19 Global Unichip Corp. System and method for power domain isolation
US8339177B2 (en) * 2011-01-26 2012-12-25 Freescale Semiconductor, Inc. Multiple function power domain level shifter
US8558603B2 (en) 2011-12-15 2013-10-15 Apple Inc. Multiplexer with level shifter
US8878387B1 (en) 2013-05-16 2014-11-04 Micrel, Inc. Multi-level stack voltage system for integrated circuits
US20150346742A1 (en) 2014-06-02 2015-12-03 Nxp B.V. Energy recycling for a cost effective platform to optimize energy efficiency for low powered system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7594208B1 (en) * 2003-07-22 2009-09-22 Altera Corporation Techniques for automated sweeping of parameters in computer-aided design to achieve optimum performance and resource usage
US20070011643A1 (en) * 2003-09-19 2007-01-11 Cadence Design Systems, Inc. Optimized mapping of an integrated circuit design to multiple cell libraries during a single synthesis pass
US7551985B1 (en) * 2006-10-30 2009-06-23 Cadence Design Systems, Inc. Method and apparatus for power consumption optimization for integrated circuits
CN101802749A (zh) * 2007-06-04 2010-08-11 Nxp股份有限公司 电源管理集成电路
US20120054511A1 (en) * 2010-08-31 2012-03-01 Sonics, Inc Intelligent power controller
CN104885085A (zh) * 2012-11-28 2015-09-02 高通股份有限公司 跨电源域的数据传输

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111381654A (zh) * 2018-12-29 2020-07-07 成都海光集成电路设计有限公司 负载探测电路、soc系统、及负载探测电路的配置方法
CN111381654B (zh) * 2018-12-29 2022-01-11 成都海光集成电路设计有限公司 负载探测电路、soc系统、及负载探测电路的配置方法

Also Published As

Publication number Publication date
US20170179957A1 (en) 2017-06-22
CN107025322B (zh) 2022-04-15
EP3182249B1 (en) 2020-06-17
EP3182249A1 (en) 2017-06-21
US9960769B2 (en) 2018-05-01

Similar Documents

Publication Publication Date Title
CN107025322A (zh) 电源域优化
CN106164689B (zh) 用于多相dc/dc转换器的模型预测控制的方法和控制器
Yang et al. Fault tolerance analysis for switched systems via global passivity
JP6667502B2 (ja) 複数バッテリーデバイスにおける負荷スケジューリング
WO2016074187A1 (en) Fast generation adjustment algorithm for energy management system
Yang et al. Modeling and stability analysis of multi-time scale DC microgrid
CN102981893B (zh) 一种虚拟机调度方法及系统
Ye et al. On-chip droop-induced circuit delay prediction based on support-vector machines
CN110245061A (zh) 计算装置、其功耗预测方法及计算机可读存储介质
US20150234949A1 (en) Boundary based power guidance for physical synthesis
Binu et al. Nonlinear analysis and estimation of the domain of attraction for a droop controlled microgrid system
Schellenberg et al. Cumulant-based stochastic nonlinear programming for variance constrained voltage stability analysis of power systems
Breban et al. Experimental design and genetic algorithm optimization of a fuzzy-logic supervisor for embedded electrical power systems
Zhang et al. Improving charging efficiency with workload scheduling in energy harvesting embedded systems
TWI503685B (zh) 電子設計自動化系統與方法
US20120216166A1 (en) Layout method of semiconductor integrated circuit and program therefor
US20230238800A1 (en) Systems, devices and methods for power management and power estimation
Ma et al. Network flow-based power optimization under timing constraints in MSV-driven floorplanning
Mohanty et al. ILP models for energy and transient power minimization during behavioral synthesis
JP6917768B2 (ja) 蓄電システム
Li et al. Low power discrete voltage assignment under clock skew scheduling
EP3182248B1 (en) Power-domain current balance
CN104508979B (zh) 准确的低功率延迟电路
JP2016059126A (ja) 電力負荷推定装置、電力負荷推定方法、及び電力負荷推定プログラム
CN106125877B (zh) 用于智能地交换电路单元的方法和智能单元交换器

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant