CN103266309A - 用于淀积反应器的设备 - Google Patents

用于淀积反应器的设备 Download PDF

Info

Publication number
CN103266309A
CN103266309A CN2013101700637A CN201310170063A CN103266309A CN 103266309 A CN103266309 A CN 103266309A CN 2013101700637 A CN2013101700637 A CN 2013101700637A CN 201310170063 A CN201310170063 A CN 201310170063A CN 103266309 A CN103266309 A CN 103266309A
Authority
CN
China
Prior art keywords
precursor
source
box
valve
fittings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013101700637A
Other languages
English (en)
Other versions
CN103266309B (zh
Inventor
S·林德福斯
P·J·苏瓦尼南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Picosun Oy
Original Assignee
Picosun Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Picosun Oy filed Critical Picosun Oy
Publication of CN103266309A publication Critical patent/CN103266309A/zh
Application granted granted Critical
Publication of CN103266309B publication Critical patent/CN103266309B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Formation Of Insulating Films (AREA)
  • Recrystallisation Techniques (AREA)
  • General Engineering & Computer Science (AREA)

Abstract

一种诸如ALD(原子层淀积)设备的设备,其包括:前体源,所述前体源被配置成用于通过顺序的自饱和表面反应将材料淀积在淀积反应器中的被加热的基底上。所述设备包括:供入管线,所述供入管线用于将前体蒸汽从所述前体源供应到反应室中;以及结构件,所述结构件被配置成利用来自反应室加热器的热量阻止前体蒸汽在所述前体源和所述反应室之间凝结成液相或固相。本发明还提供了脉冲阀、前体源、前体盒以及方法。

Description

用于淀积反应器的设备
本申请是申请日为2009年4月15日、国际申请号为:PCT/FI2009/050280、国家申请号为:200980114046.3、名称为“用于淀积反应器的设备和方法”的进入中国国家阶段的国际申请的分案申请。
技术领域
本发明总体涉及用于淀积反应器的设备和方法。更具体地并且非限制性的,本发明涉及前体源、用于淀积反应器的设备和方法,在所述淀积反应器中通过顺序的自饱和表面反应在表面上淀积材料。
背景技术
原子层外延(ALE)方法是由Tuomo Suntola博士在二十世纪七十年代早期发明的。该方法的另一个通用名称是原子层淀积(ALD),现在ALD这个名字已经取代了ALE。ALD是一种特殊的化学淀积方法,其基于在位于被加热的反应空间内的基底上顺序地引入至少两种反应前体物种。ALD的生长机理依赖于化学吸收(化学吸附)和物理吸收(物理吸附)之间的键强度差别。ALD在淀积过程中采用化学吸附并且无需物理吸附。在化学吸附过程中,强化学键被形成在固相表面的原子和来自气相的分子之间。由于仅仅涉及范德华力,所以物理吸附的键合较弱。在局部温度高于分子的凝结温度时热能可容易地断开物理吸附键。
根据定义,ALD反应器的反应空间包括所有的被加热表面,这些被加热表面交替且顺序地暴露于用于淀积薄膜的每种ALD前体。基本ALD淀积循环由四个顺序步骤组成:脉冲A、净化A、脉冲B和净化B。脉冲A通常由金属前体蒸汽组成,脉冲B由非金属前体蒸汽、尤其是氮或氧前体蒸汽组成。惰性气体(诸如氮或氩)和真空泵用于从反应空间中去除的气态的反应副产物和残余反应物分子。淀积序列包括至少一个淀积循环。重复淀积循环,直到淀积序列已经产生所需厚度的薄膜。
前体物种通过化学吸附与被加热表面的反应区域形成化学键。通常将条件设置成在一个前体脉冲的过程中在表面上形成不多于一个单分子层的固态材料。因此生长过程是自终止的或自饱和的。例如,第一前体可包括保持附接到吸附物种且使表面饱和的配体,这可阻止进一步的化学吸附。反应空间温度保持高于凝结温度并且低于所使用的前体的热分解温度,使得前体分子物种基本不受影响地化学吸附在基底上。基本不受影响指的是不稳定配体可在前体分子物种化学吸附在表面上时从前体分子离开。表面充满第一类型的反应区域(即第一前体分子的吸附物种)。该化学吸附步骤通常随后是第一净化步骤(净化A),其中过量第一前体和可能的反应副产物从反应空间中除去。第二前体蒸汽接着被引入到反应空间中。第二前体分子通常与第一前体分子的吸附物种反应,由此形成所需的薄膜材料。一旦吸附的第一前体的总量已经消耗掉并且表面已经充满第二类型的反应区域,则该生长终止。过量的第二前体蒸汽和可能的反应副产物接着通过第二净化步骤(净化B)去除。接着重复该循环,直到薄膜生长到所需厚度。淀积循环也可能更复杂。例如,循环可包括由净化步骤分开的三个或多个反应物蒸汽脉冲。所有这些淀积循环形成通过逻辑单元或微处理器控制的定时淀积顺序。
通过ALD生长的薄膜是致密的、没有小孔且具有均匀厚度。例如,由三甲基铝(CH3)3Al(也称为TMA)和250-300℃的水生长的氧化铝通常具有在100-200mm薄片上的大约1%非均匀度。通过ALD生长的金属氧化物薄膜适用于栅极电介质、电荧发光显示器绝缘体、电容器电介质和钝化层。通过ALD生长的金属氮化物薄膜适用于例如在双波纹结构中的扩散势垒区。用于薄膜ALD生长的前体和通过ALD方法淀积的薄膜材料例如公开于如下评论文章中:M.Ritala等,“Atomic Layer Deposition”,Handbook of Thin FilmMaterials,Volume1:Deposition and Processing of Thin Films,Chapter2,Academic Press,2002,p.103和R.Puurunen,“Surface chemistryof atomic layer deposition:A case study for thetrimethylaluminium/water process”,Journal of Applied Physics,Vo1.97(2005)pp.121301-121352,这些文献通过引用结合入本文。
适于执行ALE和ALD方法的设备例如公开于如下评论文章中:T.Suntola,“Atomic Layer Epitaxy”,Materials Science Reports,4(7)1989,Elsevier Science Publishers B.V.,p.261和T.Suntola,“Atomic Layer Epitaxy”,Handbook of Crystal Growth3,Thin Filmsand Epitaxy,Part B:Growth Mechanisms and Dynamics,Chapter14,Elsevier Science Publishers B.V.,1994,p.601,这些文献通过引用结合入本文。
一种前体源公开于美国专利申请公布文献US2007/0117383A1中,该文献通过引用结合入本文。
另一种前体源公开于国际专利中请公布文献WO2006/111618A1中,该文献也通过引用结合入本文。
各种现有前体源具有多种问题。一种常见问题是阻止前体蒸汽在源化学管线中的凝结需要复杂且昂贵的加热系统。另一种常见问题是阻止固态前体表面上的结壳需要复杂的源结构。还有一种常见问题是现有前体源非常庞大,并且前体源的维护很耗时。
发明内容
根据本发明的第一个方面提供一种设备,该设备包括:
前体源,该前体源被配置成用于通过顺序的自饱和表面反应将材料淀积在淀积反应器中的被加热的基底上;
供入管线,该供入管线用于将前体蒸汽从前体源供应到容纳着基底的反应室中,该反应室包含于反应器中;以及
结构件,该结构件被配置成利用来自反应室加热器的热量阻止前体蒸汽在前体源和反应室之间凝结成液相或固相。
可以具有一个或多个基底。
在一种实施方式中,前体源包括配置成用于从反应器接收热量的凸起。在一种实施方式中,设备包括凸起中的内颈部和围绕着凸起的外颈部,内颈部和外颈部在其间形成空隙。在一种实施方式中,设备包括围绕着供入管线的导热部分,其被配置成减少从凸起到周围环境的热能损失。
在一种实施方式中,设备包括源机架,其被配置成接收可拆装(或可移除)源盒。
在一种实施方式中,设备包括用于加热源盒或源模块的加热器。
根据本发明的第二个方面提供一种设备,该设备包括:
前体源,该前体源被配置成用于通过顺序的自饱和表面反应将材料淀积在淀积反应器中的被加热的基底上;
两个脉冲阀,脉冲阀嵌在前体源中并被配置成控制前体蒸汽从前体源到反应室的供应,反应室包含于反应器中并且容纳着基底;以及
旁路管线,该旁路管线位于脉冲阀之间并用于将惰性气体从一个脉冲阀供应到另一个脉冲阀。
在一种实施方式中,设备包括旁路管线中的限流器。
根据本发明的第三个方面提供一种设备,该设备包括:
前体源,该前体源被配置成用于通过顺序的自饱和表面反应将材料淀积在淀积反应器中的被加热的基底上;
脉冲阀,脉冲阀嵌在前体源中并被配置成控制前体蒸汽从前体源到反应室的供应,反应室包含于反应器中并且容纳着基底,
该设备被配置成:
通过脉冲阀将惰性气体传送到前体盒以增大压强并使前体蒸汽和惰性气体的混合物随后朝着反应室的流动容易。
在一种实施方式中,设备被配置成:
在压强增大之后关闭前体盒,直到下一个前体脉冲周期开始,并且还被配置成:
在下一个前体脉冲周期开始时打开经由脉冲阀朝着反应室的通路。
根据本发明的第四个方面提供一种前体源,该前体源包括:
可拆装的前体盒;
第一接头配件,其被配置成用于将前体盒安装到前体源以及从前体源拆下;以及
第二接头配件,其用于将前体源安装到淀积反应器装置以及从淀积反应器装置拆下。
在一种实施方式中,前体源包括与第一接头配件连接的颗粒过滤器。在一种实施方式中,前体源包括密封部分或阀,其被配置成密封前体盒,以阻止前体材料从前体盒内部流到第一接头配件。
在一种实施方式中,前体源包括:
第三接头配件,其位于密封部分或阀的第一侧;以及
第四接头配件,其位于密封部分或阀的另一侧,其中
所述第三接头配件和第四接头配件被配置成打开以便拆下所述密封部分或阀以及对前体盒进行清洁。
根据本发明的第五个方面提供一种前体盒,该前体盒包括:
前体蒸发皿,其包括前体材料;以及
烧结盒,其用于接收加载到其中的前体蒸发皿。
在一种实施方式中,装载着源化学物的前体蒸发皿被水平地布置成通过加载端口进入烧结盒。
根据本发明的第六个方面提供一种方法,该方法包括:
将前体蒸汽沿着供入管线从淀积反应器的前体源供入容纳着被加热的基底的反应室;
通过顺序的自饱和表面反应将材料淀积在淀积反应器中的被加热的基底上;以及
使用来自反应室加热器的热量阻止前体蒸汽在前体源和反应室之间凝结成液相或固相。
在一种实施方式中,该方法包括将热量从反应器接收到源内的凸起中,所述凸起具有供入管线,其中所述接收的热量加热供入管线。
在一种实施方式中,该方法包括围绕着供入管线布置导热部分,以减少从凸起到周围环境的热能损失。
根据本发明的第七个方面提供一种方法,该方法包括:
通过顺序的自饱和表面反应将材料淀积在淀积反应器中的被加热的基底上;
使用嵌在前体源中的两个脉冲阀控制前体蒸汽从前体源到反应室的供应,反应室包含于反应器中并且容纳着基底;以及
经由旁路管线将惰性气体从一个脉冲阀供应到另一个脉冲阀。
根据本发明的第八个方面提供一种方法,该方法包括:
通过顺序的自饱和表面反应将材料淀积在淀积反应器中的被加热的基底上;
使用嵌在前体源中的脉冲阀控制前体蒸汽从前体源到反应室的供应,反应室包含于反应器中并且容纳着基底;
通过脉冲阀将惰性气体传送到前体盒以增大压强并使前体蒸汽和惰性气体的混合物随后朝着反应室的流动容易。
根据本发明的第九个方面提供一种方法,该方法包括:
操作前体源;以及
将装载着源化学物的水平的前体蒸发皿接收到前体源的烧结盒中。
根据本发明的另一个方面提供一种用于任何其它方面的前体源中的可拆装前体盒。
在一种实施方式中,提供了一种用于在基底上淀积材料的前体源,该前体源包括:用于安装剂量阀的机架、用于安装前体盒的机架、供应导管、至少一个剂量阀、一体的旁路流导管;以及可移除的前体盒。
在另一种实施方式中,提供了一种用于在基底上淀积材料的前体源,该前体源包括:用于安装剂量阀且容纳前体体积的机架、供应导管、至少一个剂量阀;以及一体的旁路流导管。
所述设备、源、前体盒和方法旨在用于通过顺序的自饱和表面反应在低于大气压强的条件下使材料或薄膜在被加热表面上生长,由此设备为ALD(原子层淀积)或ALE(原子层外延)设备或类似设备。所需的薄膜厚度通常处于一个单层或分子层直到1000nm或更厚的范围内。
所述设备、源、源盒和方法旨在用于产生源化学蒸汽,用于通过顺序的自饱和表面反应在低于大气压强的条件下使材料或薄膜在被加热表面上生长。源化学蒸汽可例如通过加热固态或液态化学物来产生。它们可以例如在房间温度下具有小于大约10hPa的蒸汽压强。
一些实施方式提供了用于具有简化的加热系统的淀积反应器的分子前体源。一些实施方式提供了模块化前体源,模块化前体源具有相对于源而言受控的温度梯度,以阻止结壳。一些实施方式提供了具有紧凑占地面积的模块化前体源。
在一些实施方式中使用了在高温下从固态或液态化学物产生蒸汽的前体源。一些实施方式涉及用于从低压强蒸汽前体源产生源化学蒸汽的设备和方法。
根据一种实施方式,被加热的前体源包括可移除的前体盒和使用内置加热系统加热前体源的装置。被加热的前体源可包括利用从前体源的所选择区域受控地去除热量来在前体源上形成温度梯度从而冷却前体源的装置。被加热的前体源可包括结构件,该结构件用于使用反应室热量来阻止前体蒸汽在前体源和反应室之间凝结成液相或固相。
本领域技术人员应当理解,尽管单独提及了各方面,但是各个方面可以以任何适当方式结合。而且,本说明书中公开的实施方式和涉及一个方面的从属权利要求的主题可应用于本发明的其它方面。此外,某一方面的实施方式可与相同方面的其它实施方式以任何适当方式结合。
附图说明
下面借助附图中示出的示例性实施方式更详细地描述本发明。在附图中,类似的附图标记用于表示不同实施方式中类似的特征,其中:
图1为现有技术结构的示意图,其中非被加热液体源系统已经安装到淀积反应器;
图2为现有技术结构的另一示意图,其中非被加热液体源系统已经安装到淀积反应器;
图3为具有两个剂量阀的被加热前体源的示意图;
图4为具有一个剂量阀的被加热前体源的示意图;
图5为描绘出具有一体的盒密封件的前体源的示意图;
图6为描绘出具有一体的盒密封件的前体源的另一示意图;
图7为描绘出在前体脉冲周期过程中前体源处理器械的状态的示意图;
图8为描绘出在气体装载周期过程中前体源处理器械的状态的示意图;
图9为描绘出在净化周期过程中前体源处理器械的状态的示意图;
图10为描绘出根据一种实施方式的前体源的压强和定时的示意图;
图11为描绘出根据另一种实施方式的前体源的压强和定时的示意图;
图12为描绘出包括惰性气体供入系统的被加热前体源的示意图;
图13为包括无阀惰性气体供入系统的被加热前体源的示意图;
图14为描绘出根据一种实施方式的包括可移除的前体容器的被加热前体源的示意图;
图15为描绘出根据另一种实施方式的用于被加热前体源的可移除前体容器的示意图;
图16为描绘出根据又一种实施方式的被加热前体源的示意图;
图17为描绘出根据再一种实施方式的被加热前体源的示意图;
图18为描绘出根据一种实施方式的可密封前体盒的示意图;
图19为描绘出使用根据一种实施方式的可密封前体盒的示意图;
图20为描绘出适用于可密封前体盒的被加热源的示意图;
图21为描绘出处于关闭位置的可密封前体盒的示意图;以及
图22为描绘出处于打开位置的可密封前体盒的示意图。
具体实施方式
现在将借助附图和例子描述本发明的实施方式,但并不希望受到下面的解释和理论考虑的限制。本发明的范围并不限于这些附图和例子。本领域技术人员将会理解,在不背离本发明的范围的条件下可构建设备和方法的变型。
图1描绘出脉冲时刻的非被加热的液态前体源100的示意图。源100使用脉冲阀104来控制。在脉冲时刻脉冲阀104是打开的。液态前体在源100内部蒸发,产生前体蒸汽。前体蒸汽具有高压强,足以通过打开的脉冲阀104进入供入管线(或供入导管)108。前体蒸汽如箭头146所示地沿着导管102流动、通过脉冲阀104并如箭头138所示地沿着供入导管108流动。前体蒸汽到达容纳着基底保持器118的被加热反应室106。反应室106通过反应室盖116相对中间空间126密封。反应器122通过真空室盖124相对于房间内的空气密封。前体蒸汽化学吸附于反应室106内的所有被加热表面上。所述表面通过最多一个分子层的前体蒸汽而饱和。剩余的前体蒸汽和表面反应副产物从反应室106通过排出管线112流到真空泵110,如箭头148所示。真空泵110对气体进行压缩并且压缩气体从真空泵110排出到出口导管114。例如氮气的惰性气体用作载体和净化气体。来自惰性气体源128的惰性气体流被分成两个部分。流的一部分被引导通过中间空间导管132,并且流的另一部分被引导到源管线导管130。去往中间空间126的惰性气体的流速通过第一质量流控制器140控制。惰性气体通过限流器144从中间空间126逃逸到排出管线112。去往反应室106的惰性气体的流速通过第二质量流控制器134控制。惰性气体将前体蒸汽从脉冲阀104朝着反应室106推动。基底保持器118、反应室盖116和真空室盖124通过提升机构120控制。
图2描绘了净化时刻非被加热的液体前体源100的示意图。脉冲阀104已经关闭。液体前体源100已经与供入导管108隔离。惰性气体朝向反应室106净化残余前体蒸汽。净化过程清洁供入导管108内的气相。之后仅有纯的惰性气体如箭头200所示地沿着供入管线/导管108流动。惰性气体还净化反应室106并且清洁反应室内的气相,使得没有残余前体蒸汽和来自表面反应的气态副产物。反应室和中间空间内的所有气体最终都将进入排出管线112并如箭头202所示地朝着真空泵110流动。
图3描绘了可被用于蒸发低压蒸汽前体的被加热双阀源300(或源系统)的示意图。源300包括导热的源机架302、绝热盖304、带有控制系统308的第一脉冲阀306、带有控制系统312的第二脉冲阀310、和前体盒344。前体盒344在被加热时通常包含着固相或液相前体354和气相前体356。控制系统308、312通常依据气动或电动控制方法。源机架302通过导管连接器314束紧到反应室供入管线316。凸起利用反应器的热能。术语反应器此处包括真空室和反应室二者。凸起包括内颈部320、外颈部328和颈部连接器,该颈部连接器包括一对在其间具有密封件326的凸缘322、324,用于将凸起附接到真空室壁330。外颈部328和内颈部320之间的真空空隙332增大了用于热能流动的路径长度并减少了从凸起到周围房间大气和到冷的真空室壁330的热能损失。内颈部320和同轴的导热管318之间的气隙321增加了用于热能流动的路径长度并减小了从凸起到周围大气和到冷的真空室壁330的热能损失。置于真空室内的加热器(未显示)对凸起的内颈部320和供入导管316进行加热。热能流被分成两个部分。热能流的第一部分通过传导沿着从内颈部320和供入导管316并沿着供入导管316和导热管318朝着连接器314的第一路径运动。热能流的第二部分大部分通过传导沿着从内颈部320、经过凸缘322和324并且沿着外颈部328到达真空室壁330的第二路径运动。同轴的绝热垫325限制热能流的第二部分进入绝热盖304。第一路径保持供入导管在ALD反应器和前体源之间被加热。第二路径尽可能长并且具有尽可能小的导热性,以使从供入导管316到真空室壁330的热损失最小化。被加热的前体源300通过导管连接器314(拆装高度用虚线338示出)或通过颈部连接器从ALD反应器拆下或安装到ALD反应器。作为一个例子,导管连接器314为VCR连接器,且在VCR凸缘之间具有金属密封件。作为另一个例子,导管连接器314为Swagelok连接器或VCO O形环连接器。作为一个例子,颈部连接器为带有O形密封件的夹紧凸缘连接器。
钛酸四异丙酯Ti(OiPr)4用作通常被加热用来获得足够的前体蒸汽压强的源化学物的例子。Ti(OiPr)4被装载到前体盒344,并且可选地将颗粒过滤器352置于盒344的顶部。根据一种实施方式,盒344通过O形密封件318相对源机架302密封。盒344还可通过底部处的紧固螺母348紧固,以获得密封连接。盒344的温度使用通过盒344的颈部346附接的第一热电偶358测量。源机架/主体302使用至少一个热源被加热。根据一种实施方式,热源包括电加热电阻器355。加热电阻器355通过电线364连接到计算机控制的电源。加热电阻器355的温度使用第二热电偶362测量。绝热垫350限制从源盒344到房间大气的热能损失。两个脉冲阀306、310例如通过金属的C形环或O形环相对源机架302密封。第一脉冲阀306用作源入口控制器,以便定量地将载体惰性气体(例如氮气)送到源盒344的气相356。第二脉冲阀310用作源出口控制器,以便定量地将与载体惰性气体混合的前体蒸汽送到源出口导管342并且进一步送入反应室的供入导管316。脉冲阀306、310例如为带有穿过阀的开放净化导管的三通阀。
在净化过程中阀被关闭意味着保持阀的第三端口(即阀306、310的源侧)相对于阀的净化导管被隔离。在净化周期中,全部惰性气体流过第一阀入口导管371、通过第一阀内部的净化导管到达通往第二阀的旁路导管336、通过第二阀内部的净化导管、通过源出口导管342并通过供入导管316进入反应器的反应室。旁路导管可包括用作适当的限流器的窄通道337。在脉冲时刻阀306、310打开意味着保持阀的第三端口(即阀306、310的源侧)与阀的净化导管流体连通。在脉冲时刻,惰性气体流被分成两部分。惰性气体流的比例通过气体流传导率的比例限定。惰性气体流的第一部分从第一阀内部的净化导管通过盒入口导管334进入盒344的气相356。惰性气体与气相356中的前体蒸汽混合。得到的气体混合物经过盒出口导管338到达第二阀310内部的净化导管、经过源出口导管342并且经过供入导管316流入反应器的反应室。惰性气体流的第二部分经过第一阀内部的净化导管到达经由可选的窄通道337通往第二阀的旁路导管336,并经过第二阀内部的净化导管,在第二阀内部的净化导管处,惰性气体流的第二部分与来自盒出口导管338的反应室的气体混合物混合,并经过源出口导管342并经过供入导管316到达反应器。
根据另一种实施方式,源入口阀306首先打开,惰性气体增大源盒344的压强,接着源入口阀306关闭。源出口阀310随后打开,惰性气体和前体蒸汽的混合物流出前体盒,前体盒的压强降低。
图4描绘了被加热的单阀前体源400的示意图。源400的结构包括带有控制系统412的源出口阀410。在一种实施方式中,阀410通过螺栓紧固到源机架402。图中的附图标记445表示为此穿过源机架402钻出的孔。源机架402通过绝热盖404覆盖并且通过连接器314连接到反应器的供入导管316。根据一种实施方式,连接器314为VCR金属密封连接器。源盒包括盒主体456和盒颈部430。源盒通过置于盒底部区域的O形环424、置于盒颈部区域的径向轴密封件432或置于盒颈部430的顶部区域的O形环434相对于源机架402密封。锁定/紧固螺母426相对于密封壳体422束紧,密封壳体422或盒压靠着密封件,从而形成密封连接。绝热垫428限制从源盒到房间大气的热能损失。装载前体的被加热源盒包含固相或液相的前体416或气相前体418。如果源400包括热源355,可以使用通过盒的颈部420附接的热电偶358来测量盒的温度。
在净化时刻脉冲阀410保持关闭。因为经过脉冲阀的净化导管始终打开,惰性气体经过阀入口导管438、经过净化导管、经过源出口导管442并经过供入导管316朝着反应室流动。
在脉冲时刻脉冲阀410的源盒侧是打开的。前体蒸汽沿着盒出口导管436、经过脉冲阀410的阀座流到脉冲阀的净化导管,在脉冲阀的净化导管处蒸汽与来自阀入口导管438的惰性气体混合。因此,前体蒸汽被喷射入惰性气体流中。得到的前体蒸汽和惰性气体混合物经过源出口导管442、经过供入导管316朝着反应室流动。
根据一种实施方式,惰性气体的压强在阀入口导管438附近为大约8mbar。前体被加热到使得在脉冲阀内部的喷射点处前体蒸汽压强高于惰性气体压强的源温度。通常,源温度选自+40℃至+200℃的范围,以获得至少10mbar的前体蒸汽压强。
热能以受控方式从源盒的底侧损失。气体体积的表面上的最冷点决定所述气体体积获得的蒸汽压强的最大值。前体源的最冷点在源盒的底面处,源盒和反应室之间的所有其它表面处于比前体盒的底面更高的温度。这些所述表面在脉冲阀打开时与源盒流体连通。由于前体盒底部和反应室之间的所有表面处于比前体盒底部高的温度,可以避免前体蒸汽凝结在这些所述表面上。
图5描绘了带有处于打开位置的可动源盒的被加热源系统500的示意图。当脉冲阀410打开时,前体蒸汽从源盒512经由过道部件516朝着源凸起流动,并且还经过脉冲阀410朝着供入导管316流动,其中该过道部件516具有开孔并形成朝着脉冲阀410的过道。盒512包括盒颈部514,并且过道部件516包括O形密封件518和520。
根据一种实施方式,源机架402通过O形环密封件508附接到反应器的供入导管316。扁平凸缘504通过同轴螺栓502压靠到O形环508。图中的附图标记506表示用于同轴螺栓502的螺纹。在去除同轴的绝热垫318之后,可通过在同轴螺栓502的顶部施用工具(例如扳手)来松开同轴螺栓502。
图6描绘出带有处于关闭位置的可动源盒的被加热源系统500的示意图。锁定螺母426已被打开,并且源盒512已经被向下拉动。盒颈部514通过O形环518、520密封,并且唯一的出口开口处于由所述O形环密封的区域中。前体蒸汽体积418与源导管的其余部分有效地隔离。由于蒸汽体积的隔离,在前体源系统从源温度冷却到房间温度时,源化学蒸汽可以仅仅在源盒内部凝结。
图7描绘了在前体脉冲周期中单阀被加热源700的示意图。源700包括源机架702、加热器720、供应凸起728、脉冲阀716和前体盒706。源机架702通过绝热层704覆盖,以便减小从被加热源700到周围空气的热量损失。前体盒706装载有液体或固体前体,使得盒体积的一部分752由固体或液体前体占据,并且盒体积的剩余部分(所谓的前体蒸汽空间)754可用于气相物种。前体盒706具有容器、用于使用O形环或径向轴密封件762将盒相对于源机架702密封的颈部708、以及用于操作盒的尾部凸起758。可拆卸的绝热层760围绕尾部凸起758放置,以便控制从尾部凸起758到周围空气的热能损失量。加热器720的温度使用加热器热电偶724测量,且前体盒706的温度使用盒热电偶764测量。加热器热电偶724用于控制供到加热器的功率722。当加热器的温度超过预定的最大温度限值时,不再向加热器720供应功率。从热电偶764获得的热电电压通过模拟/数字(AD)转换方法转换成数字数值,用于PID控制器来控制供到加热器720的功率量,从而达到正确的源温度。源机架702基本上围绕着前体盒706。前体盒与源机架热接触。当源机架被加热到恒定的源温度时,前体盒从源机架接收热能,并且前体盒的温度达到源机架的温度。尾部凸起区域具有比绝热层704略高的导热率。因此,少量热能流到尾部凸起758,从而保持前体盒靠近尾部凸起的内部表面比盒的其它部分冷例如0.1℃到10℃,或者在一些实施方式中冷1℃到3℃。连续气体空间中的最冷点决定了存在的化学物种的最大蒸汽压强。因此,由于靠近脉冲阀的表面比尾部凸起壁热且所述表面保持清洁,前体蒸汽不能凝结在所述表面上。被加热源700的操作原理借助有效压强指示器756来说明,该有效压强指示器756反映了前体蒸汽空间754内的实际气体压强。
脉冲阀716包括隔膜流量密封件(未显示)、开放阀入口717、受控的源入口718、朝着源出口导管730的开放阀出口714、和致动器710。除了致动器710之外,所有的脉冲阀部件被加热到与源机架相同的源温度。致动器710可例如是气动致动器、液压致动器或螺线管致动器。致动器710可位于源机架外侧,杆将致动器的机械功率传递到阀关闭器(未显示)。致动器710可通过控制系统712受到控制。致动器保持在尽可能低的温度,例如20℃到100℃,在一些实施方式中为20℃到50℃,以增加致动器710的寿命。阀关闭器优选地为控制气体从源入口718到阀出口714的流动的常闭金属隔膜。气动高温
Figure BSA00000892165700151
ALD隔膜阀用作适合于提供的被加热前体源的脉冲阀716的例子。
源自惰性气体源736的氮气(或惰性气体)的流速通过计算机控制系统来调节。根据一种实施方式,质量流控制器738很快,足以例如在0.05s至1s内、在一些实施方式中在0.05s至0.1s内为惰性气体设定新的质量流速设置740。根据另一种实施方式,标准热质量流速控制器738用于设定惰性气体的基本恒定质量流速,脉冲阀744用于向源进入导管780添加更多的惰性气体的控制746,使得到达开放阀入口717的惰性气体的总体质量流速在低值和高值之间调节。所述低值选自例如10至500sccm的范围、在一些实施方式中为50至100sccm的范围。所述高值例如通过针阀742或毛细管调节,并且所述高值选自例如100至2000sccm的范围、在一些实施方式中为300至500sccm的范围,使得高值高于低值。源进入导管的压强通过压强传感器748测量,该压强传感器748发送模拟或数字信号750至数据处理系统。所述信号用于帮助将惰性气体流速调整到合适的范围。本设置的其中一个优点是,相对昂贵的组成部件(即惰性气体质量流控制器738、惰性气体脉冲阀744和压强传感器748)处于冷的区域中并且只与惰性氮气接触。
前体蒸汽、或前体蒸汽和惰性气体的混合物借助流量控制阀(或脉冲阀)716喷射到载体惰性气体流中,载体惰性气体流朝着源出口导管730和反应空间推动前体蒸汽。
图8描绘了气体装载周期中单阀被加热源700的示意性侧视图。在一些情形中,前体蒸汽压强对于在脉冲周期有效进入反应器而言可能过低。在图8所示的实施方式中,源内部的蒸汽压强在气体装载周期升高。在该实施方式中,惰性气体经由阀716传递到前体源箱/盒706,以使压强升高。阀716的源入口718随后如图9所示地关闭,直到下一个前体脉冲开始。在该关闭状态,前体蒸汽和惰性气体的混合物不能够经过源入口718流到源进入导管780中,也不能进入源出口导管730中。在下一个前体脉冲开始时,阀716打开,使得具有升高的压强的混合物更容易地流过源入口718,并经由源出口导管730朝着反应器流动,如图7所示。
在一些实施方式中对被加热的前体源的设计的一些规则提供如下:利用压强差,因为对于质量输送而言,通过受迫流动比扩散快,并且气体始终从较高压强流动到较低压强的方向。利用温度差,因为热能始终从较高温度运动到较低温度,并且气体空间内部的最冷点决定气体空间内的化学物种的蒸汽压强。保持所有可能的机械运动部件处于冷的区域中,因为机械运动部件在低温使用寿命更长。
通过对淀积过程的描述进一步阐述图7-9中提供的被加热的源的操作。氧化铝Al2O3由被加热的氯化铝AlCl3(有时被写作二聚物Al2Cl6)和气化的水H2O生长而成。AlCl3件被装载到置于被加热的前体源700内部的盒706中。被加热的前体源被加热到预定的源温度,以在固态AlCl3件上方产生大约0.05至10hPa的AlCl3蒸汽压强。例如,当源温度为100℃时,在前体蒸汽空间754内得到的AlCl3蒸汽压强为1hPa。在一些实施方式中,有利的是在仍然产生量够大足以覆盖整个基底表面的前体蒸汽的情况下在尽可能低的源温度使源化学物蒸发,以避免被加热源内的热敏前体的分解。
在低的源压强蒸发AlCl3的优点是,低压强增大气体分子的扩散速度并有助于尽可能快地恢复Alcl3的平衡蒸汽压强。在一种实施方式中,AlCl3蒸发大约0.5s至4.0s后,在固态AlCl3件上方获得大约0.05至10hPa的AlCl3蒸汽压强。经过源入口导管780的惰性气体流增加到例如1000sccm,这使源入口导管中的惰性气体的压强增加到高于前体气体空间754内的气体压强的压强值。接着脉冲阀718的源侧打开。惰性气体在例如0.2s至1.0s从源入口导管780流动到气体前体空间,直到源箱706的压强通过惰性气体增大至大约5至20hPa。惰性气体与源化学蒸汽混合。接着惰性气体的流速例如从1000sccm减小到100sccm,以降低源入口管线780内的惰性气体的压强。现在气体的流动方向反向并且气体混合物流出源箱的前体蒸汽空间754、经过脉冲阀716进入反应器供入管线730。载体气体流(例如100sccm的氮气)推动惰性气体/前体蒸汽混合物进入反应空间(未显示)。该实施方式的其中一个优点是,在前体源的被加热区域中仅需要单个脉冲阀。
在定位着基底的反应空间中,AlCl3分子化学吸附在基底表面上,直到可用的反应表面部位(即OH基)已经被消耗,且表面AlCl3分子的分子层、更准确地说是AlCl3分子的ClAl<和Cl2Al-部分变得饱和。此处,“-”表示A1原子和表面氧原子之间的化学键,并且“<”表示A1原子和表面氧原子之间的两个化学键。原始AlCl3分子具有附接到中央A1原子的三个氯Cl原子。当AlCl3分子与表面羟基OH基起反应时,一个或两个氯原子接收氢原子并形成作为反应副产物的气态氯化氢HCl分子。
现在表面由氯原子覆盖。能使AlCl3分子附接到被氯覆盖的表面的唯一方法是通过物理吸收(物理吸附)。但是,基底温度保持高于AlCl3蒸汽的凝结点,因此物理吸附是不可能的,剩余的AlCl3分子保持在气相。结果,最多仅AlCl3分子部分的单个分子层能够留在基底表面上。当AlCl3暴露周期结束,脉冲阀718的源侧关闭,AlCl3蒸汽和惰性气体的混合物不再从前体蒸汽空间754喷射入流经脉冲阀716的惰性气体流中,淀积循环进行到第一去除周期。当前体蒸汽空间754已经通过关闭的脉冲阀相对周围气体导管隔离时,在源化学脉冲期间耗尽AlCl3蒸汽的前体蒸汽空间754开始通过从固态AlCl3件752蒸发的AlCl3恢复。经过反应器供入导管730的气体的成分快速地从AlCl3蒸汽和惰性气体的混合物变成纯的惰性气体。残余的AlCl3分子和反应副产物(HCl)通过流动的惰性气体从反应空间朝着排出管线受到引导。
对于用于淀积程序的氧气源(或更一般地,非金属源),参考图1-2。在非金属暴露周期中,H2O蒸汽被从温度受控的液体源100(经过三通阀104)喷射进入惰性气体流并且得到的气体混合物流动经过反应器供入导管108,如箭头138所示。气体流从反应器供入导管被引导到定位着基底118的反应室106。其它前体导管(即,用于AlCl3源的反应器供入管线)具有朝着反应室106的惰性气体流。因此,当一个前体导管用于将源化学蒸汽脉冲进入反应室时,另一个前体导管受到流动的惰性气体环境的保护。
在反应空间中,H2O分子化学吸附在基底118的表面上,该表面在前一前体暴露过程中通过AlCl3分子部分饱和,直到可用反应表面部位(即表面Cl原子)已经被消耗并且表面通过源自H2O分子的羟基OH基的分子层变得饱和。此后,能够将H2O分子附接到表面的唯一方式是通过物理吸附。但是,基底温度保持高于H2O蒸汽的凝结点,因此物理吸附是不可能的并且剩余的H2O分子保留在气相。结果,H2O分子部分的最多一层分子层能够键合到基底表面并且形成薄膜材料。当H2O暴露时间结束时,H2O蒸汽不再被喷射到惰性气体流中,并且淀积循环进行到第二个去除周期。
在第二个去除周期中,来自反应器供入导管108的气体的成分从H2O蒸汽和惰性气体的混合物快速地变成纯的惰性气体。残余H2O分子和反应副产物分子(即甲烷CH4分子)被从反应空间通过流动的惰性气体朝着排出管线引导。此时,基底表面通过薄膜材料的最多一个分子层均匀地覆盖,在该情形中通过表面OH基覆盖氧化铝Al2O3。此时基底准备好对来自被加热的前体源700的AlCl3蒸汽的下一次暴露,被加热的前体源700已经在第一去除周期、H2O暴露周期和第二去除周期恢复。重复由AlCl3暴露周期、第一去除周期、H2O暴露周期和第二去除周期组成的淀积循环,直到获得所需厚度的Al2O3薄膜。使用本过程获得的Al2O3薄膜的通常生长速度为大约
Figure BSA00000892165700191
/淀积循环。
图10描绘了任意单位的作为时间的函数的第一前体源管线的压强1006和第一前体源箱的压强1008。在该实施方式中,在前体蒸汽压强恢复到平衡水平的同时在源箱中保持相对高的气体压强。前面提供的被加热的前体源用作第一前体源。在时刻t1,被加热的源700(图7)的脉冲阀716(图7)打开,前体箱气体体积754(图7)的压强1008减小。在时刻t2,通过质量流控制器738(图7)或惰性气体脉冲阀744(图7)使得源进入导管780(图7)中的惰性气体流速增加,并且如同通过管线压强传感器748(图7)测量到的一样,源进入导管780内部的气体压强1006迅速增加。部分惰性气体流入源箱,并且前体箱气体体积的压强在t2-t3时间段1028中增加,直到达到平衡压强。在时刻t3,脉冲阀716(图7)关闭,源箱与源管线隔离。源管线的净化以高速继续。在时刻t4,惰性气体流速减小到源进入导管中的低水平。在t4-t5时间段1038中,反应器的所有脉冲阀保持关闭,并且继续通过惰性气体净化源导管和反应室。在该情形中,前体盒具有多个蒸发表面,并且因此在时刻t5前体蒸汽压强已经到达源温度下的平衡压强、即最大可获得蒸汽压强,并且被加热的前体源准备好下一个前体脉冲。为了使可用前体蒸汽量最大化,优选地,在时刻t8开始的下一脉冲循环之前达到前体平衡压强。在时刻t6,第二前体源的脉冲阀打开,且第二前体蒸汽被喷射入第二前体源管线中的惰性气体流中。在时刻t7,第二前体源的脉冲阀关闭,并且第二前体源管线中的惰性气体的流速减小。在t7-t8时间段1036中,反应器的所有脉冲阀保持关闭,并且继续过惰性气体净化源导管和反应室。一个脉冲循环包含t1-t8时间段1040。在时刻t8,通过以与在时刻t1相同的方式打开第一前体源的脉冲阀来开始执行随后的脉冲循环。
图11描绘了任意单位的作为时间函数的第一前体源管线的压强1106和第一前体源箱的压强1008。在该实施方式中,在前体蒸汽压强恢复到平衡水平的同时在源箱中保持相对低气体压强。前面提供的被加热的前体源用作第一前体源。在时刻t9,通过质量流控制器738(图7)或惰性气体脉冲阀744(图7)使得源进入导管780(图7)中的惰性气体流速增加,并且如同通过管线压强传感器748(图7)测量到的一样,源进入导管780内部的气体压强1106迅速增加。在时刻t10,被加热的源700(图7)的脉冲阀716(图7)打开。部分惰性气体流入源箱,并且前体箱气体体积的压强1108在t10-tl1时间段1128中增加,直到达到平衡压强。源箱中的压强变化使惰性气体与前体蒸汽有效地混合,并且因此获得相对较高压强的惰性气体和前体蒸汽混合物。在时刻t11,惰性气体流速减小到源进入导管780中的低水平,并且源进入导管的压强迅速减小(曲线1106)。同时,源箱的压强开始减小,因为源管线的压强变得低于源箱的压强、脉冲阀仍然是打开的并且源箱与源管线流体连通。在时刻t12,脉冲阀716(图7)关闭,并且源箱与源管线隔离。前体蒸汽压强开始增加,并且在时刻t13达到平衡的前体蒸汽压强水平。源管线的净化继续。在t12-t14时间段1138中,反应器的所有脉冲阀保持关闭,并且继续通过惰性气体净化源导管和反应室。所有残余前体分子和不稳定的反应副产物被推入反应器的排出管线。在该情形中,前体盒具有多个蒸发表面,并且因此在时刻t13前体蒸汽压强已经到达源温度下的平衡压强、即最大可获得蒸汽压强,并且被加热的前体源准备好下一个前体脉冲。为了使可用前体蒸汽量最大化,优选地,在时刻t16开始的下一脉冲循环之前达到前体蒸汽平衡压强。在时刻t14,第二前体源的脉冲阀打开,且第二前体蒸汽被喷射入第二前体源管线中的惰性气体流中。在时刻t15,第二前体源的脉冲阀关闭,并且第二前体源管线中的惰性气体的流速减小。在t15-t16时间段1136中,反应器的所有脉冲阀保持关闭,并且源导管和带有惰性气体的反应室的净化继续。所有残余前体分子和不稳定的反应副产物被推入反应器的排出管线。一个脉冲循环包含t9-t16时间段1140。在时刻t16,通过以与在时刻t9相同的方式打开第一前体源的脉冲阀来开始执行随后的脉冲循环。
图10所示非常适合于如下实施方式:液体或固体前体自身的蒸汽压强对于在脉冲周期中有效进入反应器而言过低,并且前体的蒸发速度慢。而图11所示非常适合于如下实施方式:前体自身的蒸汽压强对于在脉冲周期中有效地进入反应器而言过低,并且前体的蒸发速度快,足以用于前体蒸汽压强的快速恢复。图10和图11所示使用了借助将惰性气体输送到前体箱中并且将包含惰性气体和前体蒸汽的气体混合物以定时方式输送出前体箱的附加的压强升高。
图12描绘了具有来自源的前体装载侧的受迫主载体气体流的被加热前体源1200的示意图。主载体气体流配置有:主惰性气体源1202;惰性气体质量流控制器204,其具有I/O接口1206,以便接收用于质量流控制器的设定值并且发送质量流读数;用作手动可调限流器的针阀1210;主进入导管1212;升华物收集导管1214;以及盒进入导管1216。辅载体和净化气体流配置有:辅惰性气体源736,其可为与主惰性气体源1202不同或相同的气体源;以及惰性气体质量流控制器738。主载体气体流在前体盒706内的气体空间754中与前体蒸汽混合,并且混合物通过源脉冲阀710分配。盒进入导管1216加长了用于分子扩散的路径并减小了沿着主载体气体管线向上扩散至升华物收集导管1214区域的前体蒸汽量。盒进入导管1216的内径为例如大约1至20mm、在一些实施方式中为4至8mm,并且盒进入导管的长度可以为例如10至100mm、在一些实施方式中为30至50mm。盒进入导管的末端可具有用于朝着固体或液体前体752引导惰性气体流的罩(未显示)。
根据一种实施方式,质量流控制器1204为具有相对长的设定时间的热控制器。由于ALD过程使用通常为0.1s至1s数量级的短脉冲,有利的是设置用于主载体气体流的旁路管线1208。旁路管线1208允许惰性气体流始终(包括在脉冲阀716关闭时的淀积的净化时刻)经过质量流控制器1204。旁路管线1208减小流速波动。根据另一种实施方式,质量流控制器为基于压强的控制器,其快得足以响应于通过脉冲阀716产生的压强波动。
前体盒706的温度通过第二源热电偶1218测量。温度读数用于调节供入前体源的加热器720的热功率供应722的量。第二源热电偶1218与前体源的被加热体积热接触。
前体源1200优选地是可移除的。打开出口连接732、主载体气体管线连接1220以及辅载体和净化气体管线连接734使得能够将前体源从淀积反应器(未显示)拆下。
前体盒706被加热到源温度,在一些实施方式中该温度选自+40℃至+200℃的范围内。脉冲阀716保持关闭。惰性气体从惰性气体源1202经过质量流控制器1204流到旁路管线1208。惰性气体流使源盒706的气体空间754保持加压至所选的压强值、在一些实施方式中为5至30mbar,这是因为气体空间754与惰性气体源通过主进入导管1212和针阀1210静流体连通。前体化学物752蒸发到前体盒的气相754,直到达到前体化学物的特征蒸发强度。气体空间754中的前体分子中的一些通过盒进入导管1216扩散到升华物收集导管1214,在该处,前体分子凝结到升华物收集导管1214的内表面上。升华物收集导管1214的温度低于前体盒706的气体空间754的温度。化学物的特征蒸汽压强依赖于化学蒸汽的温度。当升华物收集导管1214的表面的温度足够低时,化学物的特征蒸汽压强在表面附近变得可忽略,前体分子不能向上游扩散至主进入导管1212。在前体源1200的加热、使用和冷却过程中,升华物收集导管1214的表面的温度优选地高于房间温度且优选地低于使用第二源热电偶1218测量的源温度。当脉冲时刻开始时脉冲阀716打开,并且当脉冲时刻结束时脉冲阀716关闭。在脉冲时刻中,前体盒的气体空间754与源出口导管730通过打开的脉冲阀流体连通,并且前体蒸汽借助从前体盒朝着容纳着基底(未显示)的反应空间流动的主惰性气体流推动。
图13描绘了被加热前体源1300的示意图,其中该被加热前体源1300具有单个脉冲阀410以及与前体盒344分开的升华物收集导管1302。升华物收集导管1302通过密封件1306装配到源主体402。首先,脉冲阀310保持关闭。液体或固体前体1328被加热到源温度,例如加热到选自+40℃至+200℃之间范围内的温度。前体1328蒸发并且增加前体盒344的气体空间1330的压强,直到到达前体的特征蒸汽压强。在脉冲时刻,脉冲阀410打开。载体惰性气体从外部惰性气体源1304通过升华物收集空间流入前体盒的气体空间1330中,在该处,载体惰性气体与前体蒸汽混合。得到的混合物经过脉冲阀410流到源出口导管442并且进一步流到容纳着基底(未显示)的反应空间。在净化时刻,脉冲阀410关闭,并且前体蒸汽停止流过前体源。一些前体分子通过气体空间1330扩散到保持在比前体盒344低的温度的升华物收集导管1302并在升华物收集导管的内表面上形成凝结物1310。
图14描绘了包括前体盒1402的被加热前体源1400,该前体盒1402可使用手动盒阀1408密封并且通过打开接头配件1412而从被加热前体源拆下。前体源1400包括第二接头配件1422,用于将前体源1400安装到淀积反应器装置以及将前体源1400从淀积反应器装置拆下。包括阀主体1418的三通脉冲阀1405受到气动控制。为此,脉冲阀可包含用于接收压缩空气的连接装置1420。前体源包括:导热源主体1424;加热器盒1426,其带有电源1428和通过热电偶辅助的温度测量装置1430;绝热层1432;和盖1434。在前体盒1402的底部附近,前体源还包括导热凸起1406,该导热凸起1406具有机加工的通道,用于容纳带有连接到计算机控制系统(未显示)的连接装置1436的弯曲的热电偶1438。
前体源1400在接头配件1412的凸缘之间可具有密封件1414,该密封件1414具有由金属或陶瓷材料制成并且朝着盒阀1408指向下方的颗粒过滤器(或密封垫过滤器)1416。颗粒过滤器1416阻止固体前体颗粒进一步进入脉冲阀1418以及反应器供入管线。向上行进的前体颗粒止于颗粒过滤器1416的外表面上并且落回前体盒1402。
此后描述在更换前体盒1402之前净化源管线的方法。手动盒阀1408被关闭。被加热前体源被加热到足以从残留在导管壁上的任何固体或液体前体产生前体蒸汽压强的高温。脉冲阀1405被打开。经过三通脉冲阀1405至源出口导管1442的惰性气体的流速在高值(例如1000sccm)和低值(例如100sccm)之间被调节。高流速增加惰性气体在三通脉冲阀1405处的压强,而低流速降低惰性气体在三通脉冲阀1405处的压强,从而产生气体泵送效果。可变气体压强清空死角并有效地从任何气态残留前体清除气窝。温度应当高得足以使固体或液体前体残留物蒸发。能够产生至少大约0.1hPa前体蒸汽压强的源温度通常可被用于增强净化速度。在一种实施方式中,反应空间被加热到至少与前体源同样的温度,以阻止前体蒸汽凝结在反应空间的表面上。
中间导管1444和源出口导管1442通过惰性气体加压例如1分钟从5hPa到20hPa,接着排气1分钟从20hPa回到5hPa。加压和排气循环被重复多次,例如至少五次,在一些实施方式中至少十次,以从导管去除残留前体。
前体源1400还可包括第三接头配件1410,其可在用前体材料填充盒之后由化学物制造商关闭。前体源1400还可包括第四接头配件1404,其可被打开以清洁盒。
图15描绘了根据另一种实施方式用于被加热前体源的可去除前体箱1500。该前体箱1500包括前体室1502、用于保持前体的前体容器1514、用于装载和卸载前体容器1514的接头配件1504、以及用于将前体室的气体空间与源出口导管1515隔离的阀1508。前体室1502具有可选的颈部接头配件1506,用于拆分前体箱1500以进行清洁。前体容器1514的壁优选地通过密封件1520相对于前体室1502的壁密封,以保持前体蒸汽远离接头配件1504的区域。前体容器1514可选地包括:手柄1516,用于在打开接头配件1504之后将容器从前体室1502拉出;过滤器1518,用于阻止固体前体或粉末颗粒离开前体容器。前体箱1500可以通过接头配件1512安装到前体源的其它部分或者从前体源的其它部分拆下。
图16描绘了根据另一种实施方式的被加热前体源。从侧部1600和从前部1602示出的被加热前体源包括源主体1604、可密封的前部凸缘1606、装载端口1608、惰性气体入口阀1610、前体蒸汽出口阀1612、安装凸缘1614、绝热的源主体1616、绝热的脉冲阀1618、和绝热的装载端口1620。惰性的载体和净化气体(例如氮气或氩气)经过气体入口连接装置1622到达被加热的源。当惰性气体入口阀1610和前体蒸汽出口阀1612关闭时,惰性气体经过入口导管1624、经过源旁路导管1628、进一步经过源出口导管1632并经过反应器供入导管1636流入反应空间(未显示)。当惰性气体入口阀1610和前体蒸汽出口阀1612打开时,惰性气体流/载体气体流被分成两个部分。气体流的第一部分经过旁路管线1628。气体流的第二部分经过蒸汽空间入口导管1626进入被加热的源的蒸汽空间1650。气体流的第二部分在被加热的源的蒸汽空间1650内与前体蒸汽混合。得到的第一气体/蒸汽混合物经过蒸汽空间出口导管1630排出蒸汽空间。第一气体/蒸汽混合物被添加到来自源旁路导管1628的气体流的第一部分,从而形成第二气体/蒸汽混合物。第二气体/蒸汽混合物经过源出口导管1632、经过反应器供入导管1636流入反应空间(未显示)。水平的前体蒸发皿1642被装载入烧结盒1638。在一些实施方式中,前体蒸发皿1642由包括不锈钢、镍、钛、石英玻璃、SiC或Al2O3的惰性材料制成。烧结盒1638通过接头配件1640安装到可密封的前部凸缘1606。
图17描绘了根据另一种实施方式的被加热的前体源。从侧部1700和从前部1702示出的被加热前体源包括源主体1704、可密封的前部凸缘1706、装载端口1708、惰性气体入口阀1714、前体蒸汽出口阀1716、安装凸缘1614、源主体和脉冲阀1740的绝热部件、装载端口的绝热部件1738和供入管线的绝热部件1742。源主体和脉冲阀1740的绝热部件可替代地采用分开的绝热部件:一个用于源主体,一个用于脉冲阀。
被加热的前体源1700、1702带来很多益处。脉冲阀1714、1716在水平方向上安装到源主体1704的后侧。源的装载端口1708朝着反应器的前侧且朝着被加热的源的操作者。前体盒1758的装载和卸载很简便。
被加热的源的温度通过与连至控制单元(未显示)的电线1734连接的热电偶1732来测量。加热盒1736包括加热元件和用于测量加热盒的局部温度的热电偶。当惰性气体入口阀1714和前体蒸汽出口阀1716关闭时,惰性的载体/净化气体(例如氮气或氩气)流过如下导管:源入口连接装置1718、惰性气体入口阀1714、具有限流器1722的源旁路管线、前体蒸汽出口阀1716、源出口导管1726、和反应器供入导管1728。
当惰性气体入口阀1714和前体蒸汽出口阀1716打开时,惰性气体流/载体气体流被分成两个部分。气体流的第一部分流过具有限流器1722的旁路管线。气体流的第二部分经过蒸汽空间入口导管1720进入被加热的源的蒸汽空间1730。气体流的第二部分在被加热的源的蒸汽空间1730内与前体蒸汽混合。得到的第一气体/蒸汽混合物经过蒸汽空间出口导管1724排出蒸汽空间。第一气体/蒸汽混合物被添加到来自旁路管线的气体流的第一部分,从而形成第二气体/蒸汽混合物。第二气体/蒸汽混合物经过源出口导管1726、经过反应器供入导管1728流入反应空间(未显示)。
被加热前体系统使用从反应室加热器沿着供入导管1728朝着被加热源主体1704泄漏的热能。通过绝热颈部1742使得从被加热的源的颈部320到周围环境的热损失最小化。
通过打开源入口连接装置1718和供应接头配件1710将被加热的前体源1700从反应器拆下,以进行维护。
图18描绘了根据一种实施方式的可密封的前体盒。前体盒1800(其颈部区域的放大图显示在图18的上部)包括:盒主体1804,具有盒开口1834的盒颈部1805,通过动态密封件1836、1838(例如径向轴密封件)相对于盒颈部1805密封的滑动套筒1806,复位弹簧1830,止动凸块1832,和可选的手柄1808。前体盒填充有固体或液体前体化学物1824。前体蒸发到盒1826的气体空间,直到达到前体的平衡蒸汽压强特征值和源温度。盒炉1810包括可通过静态密封件1820(例如O形环或径向轴密封件)相对盒体1804密封的炉圆柱体1822,以及套筒推动器1818。三通脉冲阀1815安装到通到盒炉1810的导管1835。脉冲阀1815具有前体源入口1812、用于惰性气体的入口1814、和朝着反应空间(未显示)的出口1816。
图19描绘了可密封的前体盒的使用。首先如图19的上部中所示出的,源系统通过惰性气体被净化。前体盒1804被推入炉圆柱体1822,直到炉静态密封件1820形成相对盒主体1804的密封,将盒炉的气体空间与周围气体环境(例如房间空气)隔离开。惰性气体经过源管线从脉冲阀1815的净化入口1814流到脉冲阀的出口1816。当脉冲阀前体源入口1812打开时,炉圆柱体1822内部的气体空间变得与源管线1814、1816流体连通。源管线的惰性气体压强通过质量流控制器(未显示)变化。低惰性气体流速(例如100sccm)使源管线压强降低到低值(例如4hPa)。保持低流速一段时间,例如大约1分钟。高惰性气体流速(例如1000sccm)使源管线的压强增加到高值(例如15hPa)。保持高流速一段时间,例如大约1分钟。压强波动重复多次,例如至少10次。压强波动将残余空气泵送离开盒炉1810的气体空间,仅将纯的惰性气体留在炉圆柱体1822内部的气体空间中。在净化之后,脉冲阀入口1812被关闭。
接着,前体盒被向内推动,直到盒颈部处的盒开口1834露出并且前体盒的蒸汽空间1826变得与炉圆柱体1822的气体空间流体连通。当前体盒1804被加热到源温度时,一些液体或固体前体1824蒸发到盒炉的气体空间1826,直到气体空间通过前体蒸汽饱和。当脉冲阀前体源入口1812打开时,前体蒸汽经过三通阀流到脉冲阀1816的出口。从脉冲阀的净化入口1814到脉冲阀的出口1816流经三通阀的载体气体朝着反应室(未显示)推动前体蒸汽。当脉冲阀入口1812关闭时,耗尽前体蒸汽的前体盒的气体空间从蒸发的液体或固体前体1824接收新的前体蒸汽,直到气体空间再次变得通过前体蒸汽饱和,前体源准备好递送下一个前体脉冲。
当该从被加热的源移除用过的前体盒1800或用新的前体盒替换用过的前体盒时,前体盒被保持在源温度,且盒被从盒炉1810拉出,直到复位弹簧1830能够将滑动套筒1806推到盒开口1834上,从而使前体盒1826的蒸汽空间与盒炉1810的气体空间隔离。仍然接触着前体盒1800的表面的炉静态密封件1820使盒炉1810的气体空间与房间空气隔离。脉冲阀1815的入口侧打开,盒炉1810的气体空间通过具有波动压强的惰性气体净化。在通过净化从盒圆柱体1822内部的气体空间去除所有残余前体之后,脉冲阀的入口1812侧关闭。前体盒1800接着被从盒炉1810去除,新的前体盒被设置到盒炉上。
图20描绘了适用于可密封的前体盒的被加热前体源(或源系统)。被加热前体源2000包括:源主体(或机架)302;用于源主体的绝热器304;加热器盒355,其具有电能连接装置362和用于测量加热器盒温度的热电偶连接装置364;用于测量源主体304的温度的热电偶连接装置1734;用于可密封的前体盒2002的空间;净化入口导管371;源旁路导管336;源出口导管342;旁路限流器337;盒入口导管334;和盒出口导管338。脉冲阀2006、2008位于前体源的被加热区域2012中。源出口导管342由绝热装置320围绕,导管使用来自反应室加热器(未显示)方向的热能被动地加热。被加热前体源2000还可包括用于锁定螺母(图21)的螺纹2004。由于源入口阀2006和源出口阀2008被关闭,惰性的载体/净化气体只能通过净化入口导管371流到源旁路导管336并进一步流到源出口导管342。流动方向如箭头2014、2016和2022所示。与周围的导管相比,旁路限流器337(例如,具有0.6mm的孔的20mm长的玻璃毛细管)具有受限的流导。因此,旁路限流器的上游的导管的压强比旁路限流器的下游的导管的压强高(例如高出3至10hPa)。
图21描绘了处于关闭位置的可密封的前体盒。根据一种实施方式,可密封的前体盒组件包括:盒壳体2102;壳体密封件2104(例如O形环);用于壳体的锁定螺母2106;盒适配器2108,其具有孔2114并具有相对盒壳体2102的紧密公差的接头配件;盒帽2110;盒密封件2112(例如O形环);盒主体2116;调节伸缩管2118;调节杆或螺钉2120,用于使盒主体与盒帽2110一起被提升和降低;和盒热电偶2122,用于测量盒主体2116的温度。由于盒密封件2112,前体蒸汽不能从气体空间2126经孔2114进入提升空间2125。
前体源主体2102首先通过加热器盒355被加热到足够高的温度(例如,80℃至150℃,取决于所需的前体温度)。加热器盒355的最高容许温度通过前体源的操作者预定。通常,最高容许温度高于所需源主体温度大约+50℃。当接通加热器盒355的供能,加热器盒的温度增加,热能开始从较高温度的加热器盒355流到较低温度的源主体2102。如果加热器盒355的温度达到预定最高容许温度,则切断至加热器盒的供能。源主体302具有高导热率,源主体的部件之间的温度趋向于变得均衡。由于源主体302被绝热装置304覆盖,用很少的加热能量就可保持源主体302的温度。有利的是保持前体盒的底部处于低于源主体302的较低温度(例如低1℃至5℃)。前体盒的底侧的绝热材料比源主体302的少。由此在前体盒的底部形成前体源的最冷点。源化学物的蒸汽压强在封闭的气体体积内的最冷点附近具有最低值。由于源系统的最冷点远离盒入口导管334和盒出口导管338,所以避免了源化学物凝结到所述导管上。
根据一种实施方式,源主体302首先被加热到源温度,接着保持着填充有液体或固体前体2124的前体盒2116的盒壳体2102通过收紧壳体的螺纹连接的锁定螺母2106、使用壳体O形环2104相对于源主体302密封。通过使用惰性气体净化提升空间2125,残余空气被从提升空间2125除去。源入口阀2006和源出口阀2008打开,经过净化入口导管371的惰性气体的流速在低值(例如100sccm)和高值(例如1000sccm)之间变化,以在导管334和338处以及提升空间2125内产生波动压强。压强变化从被加热的源带走残余空气。
图22描绘了处于打开位置的可密封的前体盒。在净化之后,盒组件(盒适配器2108、盒帽2110和盒主体2116)通过调节杆或螺钉2120提升,直到在盒帽2110和盒密封件2112之间具有足够大的空隙(例如2mm至5mm)。前体蒸汽从前体盒的蒸汽空间2126经由孔2114通过所述空隙流动和/或扩散到提升空间2125并且进一步通过可选的颗粒过滤器2204、到达盒入口导管334和盒出口导管338。在脉冲时刻,源入口阀2006和源出口阀2008保持打开。借助旁路限流器2020,净化入口导管371处的惰性气体压强保持在比盒入口导管334处的前体蒸汽压强高的值。结果,如箭头2202所示地沿着入口导管334流动的惰性气体如箭头2206所示地朝着盒出口导管338推动前体蒸汽。前体蒸汽和惰性气体的混合物流过打开的源出口阀2008,接着通过如箭头2016所示地来自旁路管线336的小股惰性气体流如箭头2022所示地朝着源出口导管推动,并进一步朝着反应室(未显示)推动。箭头2014、2016、2022、2202和2206的长度与实际的流成比例。
所提供的被加热前体源系统适用于例如从固体或液体化学物产生在温度低于大约200℃时具有至少大约0.5hPa的蒸汽压强的前体蒸汽。可用于所提供的被加热前体源系统的化学物包括金属卤化物(例如AlCl3,TaCl5)、环戊二烯金属和环戊二烯金属衍生物(例如,双(双戊二烯基)钌Cp2Ru、三(甲基环戊二烯基)钪(CH3Cp)3Sc、双(甲基-η5-环戊二烯基)镁)、金属醇盐(例如,异丙醇钛Ti(OiPr)4、五乙氧基钽Ta(OEt)5)、金属β-二酮化合物(例如,三(2,2,6,6-四甲基-3,5-庚二酸)镧La(thd)3、双(乙酰丙酮根)合镍Ni(acac)2)、烷酰胺基金属(例如,四(乙基甲基氨基)铪[(EtMe)N]4Hf、还已知为TEMAH)。
可以用从被加热的前体源获得的前体蒸汽淀积的薄膜包含二元金属氧化物,如包括氧化镁MgO的IIA族氧化物,包括氧化钪Sc2O3、氧化钇Y2O3、氧化镧La2O3和镧系氧化物(例如氧化钆Gd2O3)的IIIB族氧化物,包括二氧化钛TiO2、二氧化锆ZrO2和二氧化铪HfO2的IVB族氧化物,包括氧化钽Ta2O5的VB族氧化物,包括三氧化钨WO3的VIB族氧化物,包括氧化锰(III)Mn2O3的VIIB族氧化物,包括二氧化钌RuO2的8族氧化物,包括氧化镍(II)NiO、氧化铜(II)CuO的IB族氧化物,包括氧化锌ZnO的IIB族氧化物,包括氧化铝Al2O3的IIIA族氧化物,包括二氧化硅SiO2和二氧化锡SnO2的IVA族氧化物,和包括氧化铋Bi2O3的VA族氧化物,所述族的三元和四元金属氧化物,如金属铝酸盐和金属硅酸盐,金属氧化物的固溶体,和所述族的金属氧化物纳米片层,如Al2O3/HfO2,金属氮化物,如包括氮化镧LaN的IIIB族氮化物,包括氮化钛TiN的IVB族氮化物,包括氮化铌NbN和氮化钽Ta3N5的VB族氮化物,包括氮化钼MoN的VI族氮化物,包括氮化铝AlN的IIIA族氮化物,包括氮化硅Si3N4的IVA族氮化物,和包括氮化碳化钨WNxCy的其它金属化合物。
在一些实施方式中,被加热前体源的源温度可选自大约40℃至200℃的范围。应当注意的是,该范围仅仅是该时刻的通常范围,在其它实施方式中更高的温度也可能是适当的。在淀积过程中,被加热前体源的操作压强通常在大约0.5hPa至50hPa的范围内,但是在其它实施方式中更低或更高的压强也是可行的。被加热前体源可用于在单个基底(诸如3英寸-12英寸的硅片)、在成批处理反应器上的多个基底(诸如2到50片3英寸-12英寸的硅片)上淀积薄膜、在粉盒反应器中淀积粉末(诸如1至1000克10μm-1mm的SiO2)。被加热前体源可用于CVD反应器中的前体蒸汽的连续供应,或优选地用于ALD反应器中的前体蒸汽的脉冲供应。通常有一至三个被加热前体源安装到ALD反应器。在一些实施方式中,超过三个被加热前体源安装到反应器。被加热前体源的容量通常为1克至100克源化学物,但是在一些实施方式中,超过100克源化学物被装载到源箱中以进行生产。
结构材料的选择取决于源化学物。铝、不锈钢AISI316L、钛、镍和哈司特镍合金为结构金属的例子。
在本发明的一些实施方式中,加上加热器和绝热器的整个被加热前体源可容易地安装到反应器以及从反应器拆下以重新填充源。另一个优点是源系统的温度可通过简单的单个加热器控制。
举例
下面的例子给出了一些实施方式的进一步说明。
例子1-被加热前体源的蒸汽产生能力
转换因数:
100cm3=0.1dm3=1*10-4m3
1mbar=100Pa=1hPa
四(乙基甲基氨基)铪(还已知为TEMAH)用作源化学物。当前体的平衡蒸汽压强在大约+104℃为3hPa并且管线压强为15hPa时,得到的气体-蒸汽混合物包含3hPa/15hPa*100vol.-%=20vol.-%的前体蒸汽。前体盒的气相体积为100cm3。如果在脉冲过程中源压强降低到5hPa,则(15hPa-5hPa)/15hPa*100%=67%的前体蒸汽被引导出前体盒67%*3hPa*100cm3=200mbar*cm3
当源温度为+104℃(377K)时,根据理想气体定律,pV=nRT->n=pV/RT=200Pa*1*10-4m3/(8.31441Jmol-1K-1*377K)=200N/m2*1*10-4m3/(8.31441Nmmol-1K-1*377K)=0.02Nm/3135Nmmol-1=0.00000638mol和m(TEMAH)=411.89g/mol*0.00000638mol=0.00263g=2.63mg。
在该例子中,假设25%的前体蒸汽化学吸附在基底上,并且75%的前体蒸汽损耗于反应室壁上的薄膜生长中或直接损耗于ALD反应器的排出管线。HfO2的最大密度为9.68g/cm3(等于9.68mg/mm3=9.68*10-9mg/μm3)。根据文献,从TEMAH和H2O的生长HfO2的速度在+300℃时为0.06nm/cycle。因此,在光滑的1cm2表面上添加的HfO2的量为0.06nm*0.01*109nm*0.01*109nm=6*1012nm3=6000μm3且质量为9.68*10-9mg/μm3*6000μm3=0.0000581mg。二氧化铪M(HfO2)的摩尔质量为210.49g/mol,并且TEMAH的摩尔质量为411.89g/mol。因此,需要411.89g/mol/210.49g/mol*0.0000581mg=0.00011mg的TEMAH用于覆盖1cm2的光滑表面,在25%的化学吸附效率下,0.00045mg的TEMAH蒸汽必须被供入反应室,用于覆盖1cm2的光滑基底表面。在前体脉冲过程中,前体源递送2.66mg的TEMAH,因此其能够覆盖2.66mg/0.00045mg/cm2=5900cm2的光滑基底表面,这足以用于处理一批75件的4英寸片。可以判断出,带有从100cm3到10cm3减少90%的蒸汽空间且装载有蒸汽压强从3hPa到0.5hPa减小80%的源化学物的被加热前体源已可以提供用于在5900cm2*0.1*0.2=118cm2上淀积薄膜的足够前体蒸汽,这足以敷盖单个100mm(4英寸)片。源系统温度通过置于源盒底部附近的热电偶测量。源系统通过置于源机架内的电阻加热器加热。加热器包括用于测量加热器温度的内部热电偶。加热器的功率消耗通常在50W至500W的范围内,优选在100W至200W的范围内。这样的低功率消耗意味着具有PID温度控制器的24VDC功率源可用于驱动加热器,但是在其它实施方式中,脉冲调制AC线电压可与温度控制器一起使用以驱动加热器。源出口导管从两个方向被动地被加热。热量通过传导从源机架朝着源出口导管的底部运动并且通过辐射和对流从反应室加热器到源出口导管的上部运动。采用绝热层和供应凸起的轮廓设计使得热量损失最小化。供应凸起从反应器的中间空间延伸到供应凸缘。外侧供应凸起和内侧供应凸起之间的外侧空隙加长了热传导路径并且由此减小了传导到反应器的底部凸缘的热能损失的量。环图形状的颈部绝热器环绕着密封紧固螺母。热平衡块和内侧供应凸起之间的内侧空隙使得热量难以从热平衡块运动到反应器的底部凸缘。在其它实施方式中,供应区域可配备其它加热器,用于使源管线温度保持高于前体蒸汽的凝结温度。
通过移除颈部绝热器并松开密封紧固螺母可将源系统从反应器的底部凸缘拆下,且不带有供应凸起。通过松开夹紧密封件可将带有供应凸起的源系统拆下。
承受高达200℃至250℃温度的硅橡胶泡沫材料为用于绝热器的合适材料的例子。为了制成非常紧凑的源系统,需要绝热的表面还可以被涂覆绝热陶瓷涂料,诸如
Figure BSA00000892165700341
涂料。
Figure BSA00000892165700342
涂料可持续承受大约+260℃的温度且其能够阻止热传导和红外辐射。由于干燥后的涂料的固体结构,对流造成的热量损失也非常小。比较而言,大约0.25mm至0.5mm厚度的一层干燥陶瓷涂料足以用于使机架绝热,与100mm厚度的橡胶泡沫材料的效果相同。
源盒包括盒主体、盒密封件和可选的颗粒过滤器。具有末端且带螺纹的盒凸缘将盒主体推靠到源机架上,并且压在盒主体和源机架之间的盒密封件使得盒相对房间空气密封。盒密封件可以是由例如Viton橡胶或全氟橡胶(诸如
Figure BSA00000892165700343
Figure BSA00000892165700344
)制成的O形环,其能承受高达200℃至230℃的温度。适用于源中的密封件的其它材料可选自例如Eriks O形环技术手册。
源导管的末端可以例如通过公差配合接头配件、VCR接头配件或金属环圈接头配件安装到反应室。
例子2-使用被加热前体源的五氧化二钽Ta2O5的淀积
五乙氧基钽Ta(OEt)5和水用作前体,以便在由芬兰的Picosun Oy制造的SUNALETM R-150ALD反应器的反应空间内在4英寸硅基底上淀积Ta2O5薄膜。Ta(OEt)5在接近房间温度时为黏性液体,其在+120℃时具有10hPa的蒸汽压强,其中1hPa=100Pa=1mbar。由于Ta(OEt)5在接近房间温度时具有可忽略的蒸汽压强,被加热前体源被用于在升高的源温度获得足够的源蒸汽压强。Ta(OEt)5通过针以及注射器装载到玻璃盒中,玻璃盒被推到被加热前体源的径向轴密封件处。水在房间温度为液体,其在20℃时具有23hPa的蒸汽压强。液体前体源用于在接近房间温度25℃时使水蒸发。
100mm的硅片被用作基底。基底放置到基底保持器,且保持器被降到ALD反应器的反应室。反应空间和中间空间通过机械式真空泵抽吸到低于1hPa绝对压强。在真空泵正在运行时,反应室的压强通过流动的氮气调整到大约1hPa至3hPa的压强范围。中间空间的压强保持高于反应空间压强大约3hPa至5hPa,使得前体蒸汽不能从反应空间泄漏到中间空间。在其它实施方式中,只要气体能够被足够快地从反应空间移除到排出管线并且反应气体不能朝着中间空间泄漏,不同类型的压强范围也是可行的。反应空间已被预热到300℃,以便加速加热基底。在反应空间内的压强已经稳定之后,处理控制等待大约5分钟,直到反应室内的温度变得均衡。
淀积循环由四个基本顺序步骤组成:Ta(OEt)5蒸汽暴露期(0.2s)、第一去除期(2.0s)、H2O蒸汽暴露期(0.1s)、和第二去除期(4.0s),当处理控制单元已经完成由1000个相同淀积循环组成的淀积序列时,泵送阀被关闭并且反应器通过纯氮气通气至大气压强。基底保持器被从反应室提升且基底从基底保持器卸载以进行测量。淀积实验的结果是,在基底上获得厚度为40nm的Ta2O5薄膜。Ta2O5的生长速度在300℃为0.07nm/cycle。
例子3-使用三个前体源淀积掺杂金属氧化物薄膜
ALD反应器配备有一个装载有二乙基锌(DEZ)的PicosolutionTM前体源、一个装载有纯净水的PicosolutionTM前体源、以及一个装载有双(甲基-η5-环戊二烯基)镁(CPMM)的PicohotTM源系统。CPMM源被加热到+95℃,获得大约10hPa的蒸汽压强。水源温度通过珀耳帖效应元件冷却器控制,使得水源的温度优选地略低于房间温度,但是只要源管线充分隔热以阻止水凝结,在其它实施方式中可使用高于房间温度的温度。在该淀积例子中,冷却的水源的温度为+18℃。
ALD反应器的反应室被加热到+250℃。6英寸硅片被装载到反应室中。稳定定时器设定大约5分钟,以便将片的温度稳定至反应室温度。
水蒸气首先脉冲送到反应室,以使基底表面通过羟基(OH)饱和。根据其它实施方式,还可以通过金属前体直接开始脉冲,这是因为基底表面通常包含足够的羟基以启动薄膜生长。
接着,淀积程序与进入反应室的净化氮气分开地供入DEZ、CPMM和水蒸气脉冲。结果,在片上以
Figure BSA00000892165700361
的生长速度生长掺杂Mg的n型ZnO。
注意到前面已经描述了一些优点。当然,可以理解,不必所有这些优点都在根据本发明的任何特定实施方式中实现。因此,例如,本领域技术人员会意识到,本发明可以实现或优化此处教导的一个或多个优点、而不必实现此处教导或建议的其它目的或优点的方式来体现或实现。
而且,尽管已经就一些优选实施方式和例子公开了本发明,但是本领域技术人员可以理解,本发明可从具体公开的实施方式扩展到本发明的其它替代实施方式和/或使用以及明显变型以及等价物。此外,尽管已经显示和详细描述了本发明的多种变化,但是基于本公开内容,本领域技术人员可以容易地想到在本发明范围内的其它变型。例如,可以想到对各实施方式的具体特征和方面进行多种组合或子组合并且这些仍然落入本发明的范围内。因此,可以理解的是,所公开的实施方式的多个特征和方面可以相互组合或替代,以便形成所公开发明的变化模式。因此,意图使此处公开的本发明的范围不受上述公开的特定实施方式限制,而是仅仅通过正确阅读权利要求来确定。

Claims (16)

1.一种前体源,包括:
前体盒,所述前体盒是可拆装的;
第一接头配件,所述第一接头配件被配置成用于将所述前体盒安装到所述前体源以及从所述前体源拆下;以及
第二接头配件,所述第二接头配件用于将所述前体源安装到淀积反应器装置以及从所述淀积反应器装置拆下。
2.如权利要求1所述的前体源,包括:
与所述第一接头配件连接的颗粒过滤器。
3.如权利要求1所述的前体源,包括:
密封部分或阀,所述密封部分或阀被配置成密封所述前体盒,以阻止前体材料从所述前体盒内部流到所述第一接头配件。
4.如权利要求3所述的前体源,包括:
第三接头配件,所述第三接头配件位于所述密封部分或阀的第一例;以及
第四接头配件,所述第四接头配件位于所述密封部分或阀的另一侧,其中
所述第三接头配件和所述第四接头配件能够打开以便拆下所述密封部分或阀以及对所述前体盒进行清洁。
5.如权利要求1所述的前体源,包括导热源主体和其中的加热器盒。
6.如权利要求5所述的前体源,包括围绕所述导热源主体的绝热层以及围绕所述绝热层的盖。
7.如权利要求1所述的前体源,包括导热凸起,所述导热凸起具有机加工的通道,用于所述前体盒的底部附近的热电偶。
8.如权利要求1所述的前体源,其中所述前体盒包括被配置成密封所述前体盒的手动盒阀。
9.一种可拆卸的前体盒,所述前体盒用于如权利要求1所述的前体源中。
10.如权利要求9所述的前体盒,包括前体室,所述前体室容纳着被配置成保持前体的前体容器。
11.如权利要求10所述的前体盒,其中所述前体室包括颈部接头配件,用于拆分前体盒以进行清洁。
12.如权利要求9所述的前体盒,其中所述前体容器的壁通过密封件相对于所述前体室被密封。
13.如权利要求9所述的前体盒,包括用于从所述前体盒的底部装载和卸载所述前体容器的接头配件。
14.如权利要求13所述的前体盒,包括向下凸出的手柄,用于在打开所述接头配件之后将所述前体容器从所述前体室拉出。
15.如权利要求10所述的前体盒,包括被配置成将所述前体室的气体空间与源出口导管隔离的阀。
16.如权利要求10所述的前体盒,其中所述前体容器包括位于所述前体容器的顶部上的颗粒过滤器。
CN201310170063.7A 2008-04-22 2009-04-15 用于淀积反应器的设备 Active CN103266309B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/148,885 2008-04-22
US12/148,885 US8741062B2 (en) 2008-04-22 2008-04-22 Apparatus and methods for deposition reactors
CN2009801140463A CN102016118B (zh) 2008-04-22 2009-04-15 用于淀积反应器的设备和方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009801140463A Division CN102016118B (zh) 2008-04-22 2009-04-15 用于淀积反应器的设备和方法

Publications (2)

Publication Number Publication Date
CN103266309A true CN103266309A (zh) 2013-08-28
CN103266309B CN103266309B (zh) 2019-05-21

Family

ID=41201340

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201310170063.7A Active CN103266309B (zh) 2008-04-22 2009-04-15 用于淀积反应器的设备
CN2009801140463A Active CN102016118B (zh) 2008-04-22 2009-04-15 用于淀积反应器的设备和方法
CN201310125034.9A Active CN103215571B (zh) 2008-04-22 2009-04-15 用于淀积反应器的设备和方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN2009801140463A Active CN102016118B (zh) 2008-04-22 2009-04-15 用于淀积反应器的设备和方法
CN201310125034.9A Active CN103215571B (zh) 2008-04-22 2009-04-15 用于淀积反应器的设备和方法

Country Status (7)

Country Link
US (3) US8741062B2 (zh)
EP (4) EP3339470B1 (zh)
JP (3) JP5635974B2 (zh)
KR (4) KR101876465B1 (zh)
CN (3) CN103266309B (zh)
RU (3) RU2630731C2 (zh)
WO (1) WO2009130375A1 (zh)

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US20070080613A1 (en) * 2006-09-20 2007-04-12 Sterlite Optical Technologies Ltd Storage and transportation device for storing and transporting optical fiber preform and precursors thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2427590B1 (en) * 2009-05-08 2018-07-11 MT Coatings, LLC Apparatus and methods for forming modified metal coatings
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
AU2011245476B2 (en) * 2010-04-26 2016-05-12 VERO Biotech LLC. Delivery of ultra pure nitric oxide (NO)
WO2011151041A1 (en) * 2010-06-04 2011-12-08 Oc Oerlikon Balzers Ag Vacuum processing device
US20110311726A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8372687B2 (en) * 2011-02-16 2013-02-12 Ahbee1, Lp System, method and apparatus for forming multiple layers in a single process chamber
US9095869B2 (en) * 2011-04-07 2015-08-04 Picosun Oy Atomic layer deposition with plasma source
KR102265704B1 (ko) * 2011-04-07 2021-06-16 피코순 오와이 플라즈마 소오스를 갖는 퇴적 반응기
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011113406A1 (de) 2011-09-16 2013-03-21 Amf Gmbh Dampfquelle zur Abscheidung dünner Schichten
CN103031546B (zh) * 2011-09-29 2016-01-20 中国科学院微电子研究所 一种原子层沉积设备及其使用方法
CN103031544A (zh) * 2011-09-29 2013-04-10 中国科学院微电子研究所 一种可快速处理数据的原子层沉积设备
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20150013296A (ko) * 2012-05-14 2015-02-04 피코순 오와이 원자층 증착 카트리지를 이용하는 분말 입자 코팅
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US20150107510A1 (en) * 2012-06-15 2015-04-23 Picosun Oy Coating a substrate web by atomic layer deposition
DE102012215708A1 (de) * 2012-09-05 2014-03-06 Osram Opto Semiconductors Gmbh Vorratsbehälter für eine beschichtungsanlage und beschichtungsanlage
US20140065307A1 (en) * 2012-09-06 2014-03-06 Synos Technology, Inc. Cooling substrate and atomic layer deposition apparatus using purge gas
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014083240A1 (en) * 2012-11-29 2014-06-05 Beneq Oy A method for fabricating a structure comprising a passivation layer on a surface of a substrate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101846763B1 (ko) * 2014-01-23 2018-04-06 울트라테크 인크. 증기 전달 시스템
KR102381816B1 (ko) * 2014-02-14 2022-04-04 어플라이드 머티어리얼스, 인코포레이티드 주입 어셈블리를 갖는 상부 돔
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10329662B2 (en) * 2014-03-03 2019-06-25 Picosun Oy Protecting an interior of a hollow body with an ALD coating
US11326254B2 (en) * 2014-03-03 2022-05-10 Picosun Oy Protecting an interior of a gas container with an ALD coating
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150364772A1 (en) * 2014-05-30 2015-12-17 GM Global Technology Operations LLC Method to prepare alloys of platinum-group metals and early transition metals
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
FI126970B (en) 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
FI126794B (en) * 2014-12-22 2017-05-31 Picosun Oy Photo-assisted coating process
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10777328B2 (en) 2015-05-04 2020-09-15 Cerium Laboratories, Llc Enhanced surface treatments
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6343592B2 (ja) * 2015-07-28 2018-06-13 信越化学工業株式会社 多結晶シリコン製造用反応炉及び多結晶シリコンの製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11832521B2 (en) 2017-10-16 2023-11-28 Akoustis, Inc. Methods of forming group III-nitride single crystal piezoelectric thin films using ordered deposition and stress neutral template layers
US10294562B2 (en) * 2016-04-05 2019-05-21 Aixtron Se Exhaust manifold in a CVD reactor
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102517907B1 (ko) * 2016-12-12 2023-04-03 어플라이드 머티어리얼스, 인코포레이티드 전구체 제어 시스템 및 프로세스
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
SG11201908711VA (en) * 2017-05-02 2019-10-30 Picosun Oy Ald apparatus, method and valve
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN111094140A (zh) * 2017-06-19 2020-05-01 叶子公司 食品保存用容器及使用它的食品的风味改良方法
EP3642386B1 (en) * 2017-06-21 2024-04-03 Picosun Oy Substrate processing apparatus and method
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10585443B2 (en) 2018-01-17 2020-03-10 Goodrich Corporation Sublimator control valve system
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP6582075B2 (ja) * 2018-03-01 2019-09-25 ピコサン オーワイPicosun Oy Aldコーティングによるガスコンテナ内部の保護
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102504958B1 (ko) * 2018-04-02 2023-03-03 삼성전자주식회사 박막 증착 방법 및 박막 증착 장치
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108677165A (zh) * 2018-05-28 2018-10-19 滁州国凯电子科技有限公司 一种新型的ald设备前驱体源载气加热方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200101141A (ko) * 2019-02-19 2020-08-27 고려대학교 산학협력단 전구체 소스 공급을 위한 금속블록 결합형 히터 어셈블리를 포함하는 증착 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JPWO2020195349A1 (zh) * 2019-03-27 2020-10-01
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
CN113906157A (zh) * 2019-06-06 2022-01-07 皮考逊公司 多孔入口
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN110055513B (zh) * 2019-06-10 2021-01-15 南开大学 一种粉末原子层沉积设备及其沉积方法与应用
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129579B (en) * 2019-06-28 2022-05-13 Beneq Oy Precursor source arrangement and atomic layer growth equipment
FI130416B (en) * 2019-06-28 2023-08-21 Beneq Oy Precursor source arrangement and atomic layer growth apparatus
CN112239849B (zh) * 2019-07-01 2022-12-09 无锡科硅电子技术有限公司 一种薄膜生长系统及方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
CN114423884A (zh) * 2019-08-12 2022-04-29 Meo工程股份有限公司 用于前体气体喷射的方法和装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI128855B (en) 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11618968B2 (en) 2020-02-07 2023-04-04 Akoustis, Inc. Apparatus including horizontal flow reactor with a central injector column having separate conduits for low-vapor pressure metalorganic precursors and other precursors for formation of piezoelectric layers on wafers
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR102403934B1 (ko) 2020-02-25 2022-05-31 키오스크코리아(주) 손가락 끼임을 방지하는 물건 보관함
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP6980324B1 (ja) * 2021-03-08 2021-12-15 株式会社クリエイティブコーティングス チタン酸バリウム膜の製造方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024104582A1 (en) 2022-11-17 2024-05-23 Swiss Cluster Ag Single-chamber atomic layer deposition apparatus with dual-lid closure system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200404912A (en) * 2002-06-17 2004-04-01 Asm Int Method of producing vapor from solid precursor and substrate processing system using the same
CN1795290A (zh) * 2003-05-27 2006-06-28 应用材料股份有限公司 一种用来产生一可用于半导体处理系统的先趋物的方法和设备
CN101040060A (zh) * 2004-06-28 2007-09-19 剑桥纳米科技公司 气相沉积系统和方法
TW200835017A (en) * 2006-12-19 2008-08-16 Veeco Instr Inc Vapor deposition sources and methods

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US3297501A (en) * 1963-12-31 1967-01-10 Ibm Process for epitaxial growth of semiconductor single crystals
SU454284A1 (ru) * 1972-04-10 1974-12-25 Предприятие П/Я А-7517 Пр моточный испаритель дл получени паро-газовых смесей
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
SU884339A1 (ru) * 1980-03-18 1995-03-10 Дзержинский филиал Научно-исследовательского и конструкторского института химического машиностроения Устройство для нанесения покрытий из газовой фазы
JPS59156996A (ja) * 1983-02-23 1984-09-06 Koito Mfg Co Ltd 化合物結晶膜の製造方法とその装置
JPS6115971A (ja) 1984-07-03 1986-01-24 Kokusai Electric Co Ltd 固体原料によるcvd膜生成装置
DE69006809T2 (de) * 1989-09-12 1994-09-15 Shinetsu Chemical Co Vorrichtung für die Verdampfung und Bereitstellung von Organometallverbindungen.
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JP3893177B2 (ja) 1996-11-12 2007-03-14 松下電器産業株式会社 気化装置、cvd装置及び薄膜製造方法
JP3125046B2 (ja) * 1997-11-21 2001-01-15 工業技術院長 ダイヤモンド単結晶薄膜製造方法
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6101816A (en) * 1998-04-28 2000-08-15 Advanced Technology Materials, Inc. Fluid storage and dispensing system
US6202591B1 (en) * 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
JP2000226667A (ja) 1998-11-30 2000-08-15 Anelva Corp Cvd装置
JP4439030B2 (ja) * 1999-04-01 2010-03-24 東京エレクトロン株式会社 気化器、処理装置、処理方法、及び半導体チップの製造方法
JP4418056B2 (ja) * 1999-09-07 2010-02-17 東京エレクトロン株式会社 化学気相堆積装置、および化学気相堆積方法
BR0107351B1 (pt) * 2000-10-20 2014-12-02 Firmenich & Cie Composição perfumante sem álcool
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
TWI277140B (en) * 2002-07-12 2007-03-21 Asm Int Method and apparatus for the pulse-wise supply of a vaporized liquid reactant
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
CN1197992C (zh) 2002-08-19 2005-04-20 乐金电子(天津)电器有限公司 等离子体蒸镀设备防止凝缩装置
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
WO2004040630A1 (ja) * 2002-10-30 2004-05-13 Hitachi Kokusai Electric Inc. 半導体デバイスの製造方法及び基板処理装置
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
TWI326104B (en) * 2003-05-27 2010-06-11 Applied Materials Inc Method and apparatus for generating a precursor for a semiconductor processing system
US8153281B2 (en) * 2003-06-23 2012-04-10 Superpower, Inc. Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
GB2426808C (en) * 2003-06-26 2007-04-12 Planar Systems Inc Diaphragm valve for atomic layer deposition
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
JP4436664B2 (ja) * 2003-12-24 2010-03-24 日立造船株式会社 蒸着装置
KR100621765B1 (ko) * 2004-01-20 2006-09-08 삼성전자주식회사 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4570403B2 (ja) * 2004-06-28 2010-10-27 日立造船株式会社 蒸発装置、蒸着装置および蒸着装置における蒸発装置の切替方法
CN101684550B (zh) * 2004-06-28 2012-04-11 剑桥纳米科技公司 设计为用于气相沉积系统中的阱
US7846499B2 (en) * 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
FI118803B (fi) 2005-04-22 2008-03-31 Beneq Oy Lähde, järjestely lähteen asentamiseksi sekä menetelmä lähteen asentamiseksi ja poistamiseksi
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
ITMI20050962A1 (it) * 2005-05-25 2006-11-26 Lpe Spa Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza
US7993459B2 (en) * 2005-10-24 2011-08-09 Global Oled Technology Llc Delivering particulate material to a vaporization zone
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
JP4605790B2 (ja) * 2006-06-27 2011-01-05 株式会社フジキン 原料の気化供給装置及びこれに用いる圧力自動調整装置。
JP5073751B2 (ja) * 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
JP5020407B2 (ja) * 2008-03-17 2012-09-05 アプライド マテリアルズ インコーポレイテッド アンプルのための加熱式バルブマニホールド

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200404912A (en) * 2002-06-17 2004-04-01 Asm Int Method of producing vapor from solid precursor and substrate processing system using the same
CN1795290A (zh) * 2003-05-27 2006-06-28 应用材料股份有限公司 一种用来产生一可用于半导体处理系统的先趋物的方法和设备
CN101040060A (zh) * 2004-06-28 2007-09-19 剑桥纳米科技公司 气相沉积系统和方法
TW200835017A (en) * 2006-12-19 2008-08-16 Veeco Instr Inc Vapor deposition sources and methods

Also Published As

Publication number Publication date
EP2628820B1 (en) 2018-05-30
JP2013211551A (ja) 2013-10-10
US20130240056A1 (en) 2013-09-19
US20090263578A1 (en) 2009-10-22
KR20130043244A (ko) 2013-04-29
US20130183444A1 (en) 2013-07-18
JP5756143B2 (ja) 2015-07-29
KR101561430B1 (ko) 2015-10-19
RU2630727C2 (ru) 2017-09-12
CN102016118B (zh) 2013-07-24
JP5635974B2 (ja) 2014-12-03
KR20180080340A (ko) 2018-07-11
RU2013116787A (ru) 2014-10-10
KR101876465B1 (ko) 2018-07-10
US8753716B2 (en) 2014-06-17
RU2013120817A (ru) 2014-11-10
JP2011518256A (ja) 2011-06-23
RU2630731C2 (ru) 2017-09-12
EP2628821A1 (en) 2013-08-21
US8741062B2 (en) 2014-06-03
KR20130055703A (ko) 2013-05-28
EP2274457B1 (en) 2017-12-13
EP3339470A1 (en) 2018-06-27
KR20110008089A (ko) 2011-01-25
EP2274457A1 (en) 2011-01-19
KR101978398B1 (ko) 2019-05-14
CN103215571A (zh) 2013-07-24
JP5606571B2 (ja) 2014-10-15
EP2628820A1 (en) 2013-08-21
CN103215571B (zh) 2016-03-09
RU2010146303A (ru) 2012-05-27
EP3339470B1 (en) 2021-09-01
CN102016118A (zh) 2011-04-13
JP2013189713A (ja) 2013-09-26
EP2628821B1 (en) 2018-05-30
EP2274457A4 (en) 2015-01-14
WO2009130375A1 (en) 2009-10-29
RU2503744C2 (ru) 2014-01-10
CN103266309B (zh) 2019-05-21

Similar Documents

Publication Publication Date Title
CN102016118B (zh) 用于淀积反应器的设备和方法
JP2011518256A5 (zh)
US20180305813A1 (en) Methods and Apparatus for Deposition Reactors
US20080063798A1 (en) Precursors and hardware for cvd and ald
TWI254749B (en) Source gas delivery
US20100266765A1 (en) Method and apparatus for growing a thin film onto a substrate
US20240200189A1 (en) Semiconductor processing device
EP1862566A1 (en) Diethylsilane as a silicone source in the deposition of metal silicate films
GB2612892A (en) Method of coating a component for use in a CVD-reactor and component produced by the method
TW200822191A (en) Precursors and hardware for CVD and ALD

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant