CN1795290A - 一种用来产生一可用于半导体处理系统的先趋物的方法和设备 - Google Patents

一种用来产生一可用于半导体处理系统的先趋物的方法和设备 Download PDF

Info

Publication number
CN1795290A
CN1795290A CNA2004800147104A CN200480014710A CN1795290A CN 1795290 A CN1795290 A CN 1795290A CN A2004800147104 A CNA2004800147104 A CN A2004800147104A CN 200480014710 A CN200480014710 A CN 200480014710A CN 1795290 A CN1795290 A CN 1795290A
Authority
CN
China
Prior art keywords
jar
tantalum
district
equipment according
inferior segment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800147104A
Other languages
English (en)
Other versions
CN1795290B (zh
Inventor
陈岭
古文忠
仲华
克里斯托夫·马尔卡达尔
塞斯哈德瑞·甘古利
耶纳·林
吴典晔
艾伦·乌耶
张镁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/447,255 external-priority patent/US6905541B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1795290A publication Critical patent/CN1795290A/zh
Application granted granted Critical
Publication of CN1795290B publication Critical patent/CN1795290B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by using a condenser
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明的实施例系有关于一种用来产生一用于半导体处理系统中之先趋物的设备。该设备包括一罐子其具有一侧壁,一顶部及一底部。该罐子界定出一具有一上区及一下区的内部空间。该设备进一步包括一围绕在该罐子周围的加热器。该加热器在该上区与下区之间产生一温度梯度。

Description

一种用来产生一可用于半导体处理系统的先趋物的方法和设备
【技术领域】
本发明系有关于沉积一阻障层在一半导体基材上。
【背景技术】
次微米及更小的特征结构的可靠制造为下一世代半导体元件的极大型集成电路(VLSI)及超大型集成电路(ULSI)的关键技术之一。然而,在VLSI及ULSI技术中之内连线尺寸的变小已对于处理能力作出更大的要求。此技术的核心所在之多层内连线结构需要对包括接点,介层孔,接线及其它特征结构在内的高深宽比特征结构加以精确的处理。这些内连线特征结构之可靠的形成对于VLSI及ULSI成功及对于提高每一基材或晶粒上的电路密度与品质的持续努力而言是非常地重要的。
当电路密度提高时,介层孔,接点及其它特征结构的宽度,以及介于它们之间的介电物质的宽度都会缩小到次微米的尺度(如,小于约0.2微米或更小),而在介电层的厚度保持不变下,该等特征结构的深宽比,即深度除以宽度,将会变大。许多传统的沉积处理在填满深宽比超过4∶1的次微米结构上是有困难的,特别是对于深宽比超过10∶1的特征结构。因此,目前有许多进行中的努力是有关于如合形成无气隙,无接缝之具有高深宽比的次微米特征结构。
目前,铜及其合金已变成为次微米内连线技术的首选金属因为铜具有比铝低的电阻率(约1.7μΩ-cm对铝的约3.1μΩ-cm),及一较高的电流载负能力及高很多的电子迁移阻力。这些特性对于支援高集积度及高元件速度时所遭遇到的电流密度而言是很重要的。又,铜具有良好的导热性且可以相当高的纯度被获得。
铜金属化可用多种技术来达成。一种典型的方法包括物理气相沉积一阻障层于一特征结构上,物理气相沉积一铜种晶层于该阻障层上,然后电镀一铜导电物质层于该铜种晶层上用以填满该特征结构。最后,该等被沉积的层及介电层被平坦化,如通过化学机械研磨(CMP),来界定一导电的内连线特征结构。
然而,使用铜有一个问题,即铜会扩散到硅,二氧化硅及其它介电材料中,而危及元件的完整。因此,保形阻障层对于防止铜扩散而言变得愈来愈重要。氮化钽已被用来作为一阻障材料用以防止铜扩散到底下的层中。然而,使用在阻障层沉积中的化学物,如伍(二甲醯胺基)钽(PDMAT;Ta[NH2(CH3)2]5)会包括杂质,其会造成在制造半导体元件时产生缺陷并降低良率。因此,对于能够从一高纯度的先趋物沉积一阻障层的方法存在着需求。
【发明内容】
本发明的实施例系有关于一种用来产生一用于半导体处理系统中之先趋物的设备。该设备包括一罐子其具有一侧壁,一顶部及一底部。该罐子界定出一具有一上区及一下区的内部空间。该设备进一步包括一围绕在该罐子周围的加热器。该加热器在该上区与下区之间产生一温度梯度。
【附图说明】
本发明之一更为特定的描述可通过参照显示于附图中之实施例而被作成,使得本发明之上述特征,优点及目地可被详地地了解。然而,应注意的是,附图中所示者为本发明之典型的实施例,因此不应被认为是本发明范围的限制,因为本发明可以有其它等效的实施例。
图1为一通过原子层沉积(ALD)而被形成在一基材上之阻障层的实施例的示意剖面图;
图2A-2C显示在一举例性的基材部分上被化学吸收作用之交替的一含钽化合物与一含氮化合物的单分子层(monolayer)。
图3为一处理系统的举例性实施例的示意剖面图,该系统可被用来通过原子层沉积形成一或多层阻障层。
图4A为一气体产生罐的剖面侧视图。
图4B为图4A的气体产生罐的剖面顶视图。
图5为一气体产生罐的另一实施例的剖面图。
图6为一气体产生罐的另一实施例的剖面图。
图7显示一罐子的剖面图,其被一依据本发明的一个实施例之罐子加热器所包围。
图8显示一罐子的剖面图,其包含多个依据本发明的一个实施例之实心颗粒。
图9显示依据本发明的一实施例之从罐子的底部延伸至上部的多个筒仓(silo)的剖面图。
图10显示依据本发明的一实施例之从罐子的底部延伸至上部的多个筒仓(silo)的顶视图。
【具体实施方式】
图1为一基材100的实施例的示意剖面图,该基材具有一介电层102及一被沉积在该介电层上的阻障层104。根据该处理阶段,该基材100可以是一硅半导体基材,或其它已被形成在该基材上之物质层。该介电层102可以是氧化物、氧化硅、碳氧化硅、氟化硅、一多孔的介电层或其它被形成图案之适当的介电层,用以提供一接点孔或介层孔102H其延伸至该基材100的一外露的表面部分102T。为了清晰起见,基材100系指任何其上将被实施薄膜处理的工件,及一基材结构150被用来表示该基材100以及形成在该基材100上的其它物质层,如介电层102。熟习此技艺者亦了解的是,本发明可被使用在双镶嵌处理流程中。该阻障层104通过原子层沉积(ALD)而被形成在第1A图的基材结构150上。最好是,该阻障层包括一氮化钽层。
在一态样中,一氮化钽阻障层的原子层沉积包括依序提供一含钽化合物及一含氮化合物至一处理室中。依序提供一含钽化合物及一含氮化合物可获得在该基材结构150上被化学吸收作用之交替的一含钽化合物与一含氮化合物的单分子层(monolayer)。
图2A-2C显示在一集成电路制造阶段中,更明确地是在一阻障层的形成阶段中,在一举例性的基材200的部分上被化学吸收作用之交替的一含钽化合物与一含氮化合物的单分子层(monolayer)的另一实施例。在图2A中,一含钽化合物的单分子层通过将该含钽化合物205的一个脉冲引入到一处理室中而被化学吸收至该基材200上。
该含钽化合物205典型地包括钽原子210其具有一或多个反应物种215。在一实施例中,该含钽化合物205为伍(二甲醯胺基)钽(PDMAT;Ta[NH2(CH3)2]5)。有数项有利的理由使用PDMAT。PDMAT相当稳定。此外,PDMAT具有一适当的蒸气压力,这让它可被轻易地输送。详言之,PDMAT可被制造成带有低量的卤化物。PDMAT的卤化物含量应以卤化物含量少于100ppm的量来制造。一般咸认,一带有低卤化物含量的有机金属先趋物是有利的,因为结合到阻障层中的卤化物(如,氯)会攻击沉积在其上的铜层。
PDMAT在制造期间的热分解会在PDMAT产品中制造杂质,其被用来形成氮化钽阻障层。该等杂质可包含诸如CH3NTa(N(CH3)2)3及((CH3)2N)3Ta(NCH2CH3)的化合物。此外,与湿气的反应会在PDMAT产物中会形成钽氧基醯胺化物化合物。最好是,该等钽氧基醯胺化物化合物可通过升华而从该PDMAT中被移除。例如,该等钽氧基醯胺化物化合物在一起泡器中被移除。该PDMAT产物最好是具有少于5ppm的氯。此外,锂,铁,氟,溴及碘的量应被最小化。最好是,杂质的总量应小于5ppm。
该含钽化合物可以一气体被提供或可在一载运气体的帮助下被提供。可被使用之载运气体的例子包括,但不局限于,氦(He)、氩(Ar)、氮(N2)及氢(H2)。
在该含钽化合物的单分子层被化学吸收至基材200上之后,过量的含钽化合物可通过导入一冲洗气体至该处理室中而从处理室中被移除。冲洗气体的例子包括,但不局限于,氦(He)、氩(Ar)、氮(N2)、氢(H2)及其它气体。
参照图2B,在该处理室已被冲洗之后,一含氮化合物225的脉冲被导入该处理室。该含氮化合物225可单独被提供或可在一载运气体的帮助下被提供。该含氮化合物225可包含具有一或多种反应物质235的氮原子230。该含氮化合物最好是包括氨气(NH3)。其它的含氮化合物亦可被使用,其包括,但不局限于,NxHy,其中x及y为整数(如,(N2H4))、二甲基((CH3)2N2H2)、第三丁基(C4H9N2H3)、苯基(C6H5N2H3)及其它衍生物,一氮气电浆源(如,N2、N2/H2、NH3或N2H4电浆),2,2’-偶氮异丁烷((CH3)6C2N2),叠氮化乙烷(C2H5N3),及其它适当的气体。如果有需要的话,可以一载送气体来输送该含氮化合物。
一含氮化合物225的单分子层可被化学吸收作用至该含钽化合物205的单分子层上。在原子层沉积(ALD)期间之该等在一表面上之先趋物的组成及结构并未被确实了解。一般咸认,该被化学吸收作用之含氮化合物225该含钽化合物205的单分子层起反应,用以形成一氮化钽层。209该等反应物质215,235形成副产物240其通过真空系统从该基材表面被送走。
在该含氮化合物225的单分子层被化学吸收作到该含钽化合物的单分子层上之后,任何过多的含氮化合物通过导入该冲洗气体的另一脉冲即可从处理室中被移走。之后,如图2C所示的,该含钽化合物与含氮化合物被化学吸收作用之交替的单分子层(monolayer)的氮化钽层沉积程序可被重复,如果有需要的话,直到达到一所想要的氮化钽层厚度为止。
在图2A-2C中,该氮化钽的构成被描述为以一在该基材上之含钽化合物的一单分子层的化学吸收作用作为开始,其后接着一含氮化合物的单分子层。或者,该氮化钽的构成被描述为以一在该基材上之含氮化合物的一单分子层的化学吸收作用作为开始,其后接着一含钽化合物的单分子层。又,在另一实施例中,在反应物气体的脉冲之间的一帮浦排空可被用来防止反应物气体的混合。
该含钽化合物,该含氮化合物及该冲洗气体的每一脉冲的时间长度是变动的且与所使用的沉积室以及与其相耦合的真空系统的体积容量有关。例如,(1)一气体的低室压将会需要一较长的脉冲时间;(2)一低气体流率将需要一较长的时将让室压力升高且稳定需要较长的脉冲时间;(3)一大体积的室需要较长的时间来填满且让室稳定亦需要较长的时间,因而需要较长的脉冲时间。相类似地,介于每一脉冲之间的时间亦是变动的且与处理室以及与其相耦合的真空系统的体积容量有关。大体上,该含钽化合物或该含氮化合物的脉冲的持续时间应够长而足以将反应副产物及/或任何留在该处理室内的残留物质移走。
大体上,对于含钽化合物而言约1.0秒或更短及对于含氮化合物而言约1.0秒或更短的脉冲时间典型地已足够将交替的单分子层化学吸收于一基材上。对于冲洗气体而言约1.0秒或更短的脉冲时间典型地已足够移除反应副产物以及任何留在该处理室内的残留物质。当然,一较长的脉冲时间可被用来确保该含钽化合物及该含氮化合物的化学吸收作用,且可确保反应副产物的移除。
在原子层沉积期间,该基材可被保持在一被选定的含钽化合物的热分解温度底下。将与本文中所述之含钽化合物一起使用之一举例性的加热器的温度范围在一小于100托耳(torr),更佳地为小于50托耳,的处理室压力下系介于约20℃至约500℃之间。当该含钽气体为PDMAT时,该加热器温度最好是介于约100℃至约300℃之间,更佳的事介于175℃至约250℃之间。在另一实施例中,应被了解的是,其它的温度亦可被使用。例如,一高于该热分解温度的温度亦可被使用。然而,该温度应加以选择使得超过百分之五十的沉积活动为化学吸收处理。在另一例子中,一高于热分解温度的温度被使用,在该温度下于每一姗纡物沉积期间的分解量被限制,使得其生场模式将会与一原子层沉积的生长模式相类似。
通过原子层沉积在一处理室中沉积一氮化钽层的举例性的处理包括依序地在一介于约100sccm至1000sccm,最好是在约200sccm至约500sccm,的流率下提供伍(二甲醯胺基)钽(PDMAT)持续约1.0秒或更少的时间,及在一介于约100sccm至1000sccm,最好是在约200sccm至约500sccm,的流率下提供冲洗气体持续约1.0秒或更少的时间。该加热器温度最好是在室压力介于约1.0至约5.0托耳下被保持在约100℃至约300℃之间。此处理每一循环都提供一厚度介于约0.5埃()至约1.0埃的氮化钽层。
图3为一处理系统320的一举例性实施例的示意剖面图,该处理系统可被用来通过依据本发明的态样之原子层沉积来形成一或多层阻障层。当然,其它的处理系统亦可被使用。
处理系统320大体上包括一处理室306其耦合至一气体输送系统304。该处理室306可以是任何适当的处理室,例如,可从设在美国加州SantaClara市的Applied Materials公司购得者。举例性的处理室包括PDSCENTURA蚀刻室,PRODUCER化学气相沉积室,及ENDURA物理气相沉积室,等等。
该气体输送系统304大体上控制不同的处理气体及钝气被送至该处理室306时的流率及压力。被送至该处理室306的处理气体及其它气体的数量及种类大体上系根据将在与该气体输送系统相耦合之该处理室306中实施的处理来加以选择。虽然为了简化起见,一单一的气体输送回路被示于图3中的气体输送系统304中,但应被了解的是,额外的气体输送回路亦可被使用。
气体输送系统304大体上被耦合在一载运气体源302与该处理室306之间。该载运气体源302可以是一本地的或是一远端的容器或一中央化的设施来源,其可供应载运气体给整个设施。该载运气体源302典型地供应一诸如氩,氮,氦或其它钝态或非反应性气体的载运气体。
该气体输送系统304典型地包括一流量控制器310其耦合在该载运气体源302与一处理气体源罐子300之间。该流量控制器310可以是一比例阀,调节阀,针阀,调节器,质量流控制器或类此者。一种可被使用的流量控制器310为可从设在美国加州的Monterey市的SierraInstrument公司所购得者。
该来源罐子300典型地被耦合至且位在一第一阀312及一第二阀314之间。在一实施例中,该第一及地二阀312,314被耦合至该来源罐子300且嵌设有断开配件(未示出)以便于阀312,314与该来源罐子300一起从该气体输送系统304上取下。一第三阀316被设置在该第二阀314与该处理室306之间,用来防止在该来源罐子300从该气体输送系统304上取下时污染物进入到该处理室306中。
第4A及4B图显示该来源罐子300的一实施例的剖面图。该来源罐子300大体上包含一小瓶子或其它具有一外罩420之密封容器,其被设计来容纳先趋物质414,一处理气体(或其它气体)可经由对该先趋物质的升华或蒸发处理而获得。某些可在该来源罐子300内经由一升华处理产生处理气体之固态的先趋物质414包括二氟化氙、羰化镍,六羰化钨及伍(二甲醯胺基)钽(PDMAT)等等。某些可在该来源罐子300内经由一蒸发处理产生处理气体之固态的先趋物质414包括肆(二甲胺基)钛(TDMAT),第三丁基亚胺基三(二乙胺基)钽(TBTDET),及伍(乙甲胺基)钽(PEMAT),等等。该外罩430一般是由对先趋物质414及其所产生的气体为钝态的物质制成,因此建构的材质会根据将被制造的气体而被改变。
外罩420可具有任何几何形式。在第4A及4B图所示的实施例中,外罩420包含一圆筒形的侧壁402及一底部432其被一盖子404所封闭。该盖子404可通过焊接,黏合或其它方法被耦合至侧壁402上。或者,介于侧壁402与盖子404之间的结合具有设置在它们之间的一密封件,一O形环,一垫圈,或类此者,用以防止来自于该来源罐子300的渗漏。或者,侧壁402可包含其它中空的几何形式,例如,一中空的方形管。
一入口端406及一出口端408被设置成穿过该来源罐子用以容许气体流入及流出该来源罐子300。入口及出口端406,408可被设置成穿过该来源罐子300的盖子404及/或侧壁402。入口及出口端406,408是可被密封的用以在该来源罐子300从该气体输送系统304上被取下期间可让该来源罐子300的内部与周围的环境隔离开来。在一实施例中,阀312,314被密封地耦合至入口及出口端406,408用以在来源罐子300从该气体输送系统304上被取下以进行先趋物质414的补充或来源罐300的更换时(示于图3中)防止来自来源罐子300的渗漏。匹配的断开配件436A,436B可被耦合至阀312,314用以便于来源罐子300从该气体输送系统304上取下及更换。阀312,314典型地为球阀或其它正密封阀,其可容许来源罐子300从该系统上被有效率地取下,同时可将在填充,运输,或耦合至该气体输送系统304期间来自于该来源罐子300的渗漏减至最小。或者,该来源罐子300可经由一补充埠(未示出),像是一具有设置在该来源绀子300的盖子404上的VCR配件的小管子,来进行补充。
该来源罐子300具有一内部体积438,其具有一上区418及一下区434。该来源罐子300的下区434至少被填充该先趋物质414。或者,一液体416可被添加至一固体先趋物质414中以形成一泥浆412。该先趋物质414,液体416,或预混合的泥浆412可通过将该盖子404取下或经由埠406,408之一者被导入到该来源罐子300中。液体416被加以选择使得液体为一不会与先趋物质414起反应,先趋物质414不会溶解到该液体中,与先趋物质比较起来该液体416具有一可忽视的蒸气压力,及固体先趋物414,如六羰基化钨,的蒸气压力对液体416的蒸气压力的比大于103。
与液体416混合的先趋物质414可被偶尔搅动用以让在该泥浆412中的先趋物质414悬浮在液体416中。在一实施例中,先趋物质414及液体416是被一磁性搅拌器440搅动。该磁性搅拌器440包括一设置在该来源罐子300的底部432底下的磁性马达442及一磁性片(pill)444其设置在该来源罐子300的下区434内。该磁性马达442的操作可将该磁性片444转动于该来源罐子300中,藉以混合泥浆412。该磁性片444应具有一外涂层,该外涂层的材质为一不会与该先趋物质414,该液体416,或来源罐子300起反应的物质。适合的磁性混合器可在市面上购得。适合的磁性混合器的一个例子为由设在美国北卡罗莱那州Wilmington市的IKAWorks公司所售之IKAMAREO。或者,该泥浆412可用其它方式来搅动,如用一混合器,一起泡器,或类此者。
该液体416的搅动可导致液体416的液滴被搭乘(entrained)在该运送气体内并被运送朝向该处理室306。为了要防止这些液体416的液滴到达处理室306,一集油槽450可非必要地被耦合至该来源罐子300的出口端408。该集油槽450包括一本体452其包含多个相互穿插的挡板454,它们延伸超过该集油槽本体452的一中心线456且被弯折至少稍微向下朝向该来源罐子300的角度。该等挡板454迫使流向该处理室306的气体流过环绕在挡板454周围之婉蜒的路径。挡板454的表面积提供一曝露在该流动的气体下之大的表面积,使得搭载在该气体中之油滴可黏附在此大的表面积上。挡板454之向下的角度可让累积在该集油槽中的任何油滴向下流动并流回到该来源罐子300中。
该来源罐子300包括至少一挡板410,其被设置在该来源罐子300的上区418内。挡板410被设置在该入口端406与出口端408之间,其可产生一伸展的平均流路径,藉以防止来自该入口端406的载运气体直接流至该出口端408。这具有增加该载运气体在该来源罐子300中的平均停留时间及提高该载运气体所载运之心趋物气体被升华或被蒸发的品质的效果。此外,该等挡板410将载运气体引导通过设置在该来源罐子300中之该先趋物质414的整个曝露出来的表面,确保可重复的气体产生特征及该先趋物质414的有效消耗。
撢板410的数量,间隔及形状可被选择,用以将该来源罐子300调整成可最佳地产生先趋物气体。例如,一较多数量的挡板410可被选取,用以施加较高的载运气体速度于该先趋物质414上,或挡板410的形状可被建构成能够控制先趋物质414的消耗,以更有效率地使用先趋物质。
挡板410可被装制在侧壁402或盖子404上,或挡板410可以是一预先制造的插入件,其被设计成可嵌设到该来源罐子300被。在一实施例中,被设置在来源罐子300内的挡板410包含五个矩形的板子,其是用与侧壁402相同的材质制造的。参照图4B,挡板410被焊接或用其它方式被固定到该侧壁402上且彼此平行。挡板410被相互交插地固定至该来源罐子300的相反侧上,藉以产生一蜿蜒的平均流路径。又,当盖子404被放在侧壁402上时,挡板410系位在盖子404上的入口端406与出口端408之间且被设置成在挡板410与盖子404之间没有空气空间。挡板410额外地延伸至少部分地进入到来源罐子300的下区434中,因而界定一延伸的平均流路径,供载运气体流经该上区418。
非必要地,一出口管子422可以被设置在该来源罐子300的内部空间438中。管子422的第一端424被耦合至该来源罐子300的入口端406且在其第二端426处终止于该来源罐子300的上区418中。管子422将载运气体注入到该来源罐子300的上区418中靠近该先趋物质414或该泥浆412的位置处。
先趋物质414在一预定的温度及压力下产生一先趋物气体。从先趋物质414的蒸发或升华的气体在该来源罐子300的上区418累积且被一从该入口端406进入且从出口端408离开的一钝态载运气体扫出去并被载运至该处理室306。在一实施例中,先趋物质414被一设置在靠近侧壁402处的一电阻式加热器430加热至一预定的温度。或者,先趋物质414可用其它的方式加热,像是通过设置在该来源罐子300的上区418或下区434中的匣式加热器(未示出),或通过用一放在该载运气体入口端406的上游的一加热器(未示出)来预热该载运气体。最了要让在该泥浆412上的均匀热分布最大化,液体416及挡板410应是良好的热导体。
依据本发明的另一实施例,多个具有高导热性之固体珠子或颗粒810,像是氮化铝或氮化硼,可被用来取代液体416,如图8所示。与液体416比较起来,这些固体颗粒810可被用来将更多的热从罐子800的侧壁传递至先趋物质414。该等固体的颗粒810具有与液体416相同的特性,即,它们对先趋物质414是没有反应性的,是不可溶解的,与先趋物质比较起来,具有一可被忽略的蒸气压力。因此,该等固体颗粒810被作成可有效率地将热传从罐子800递至罐子800的中央部分,藉以导致在升华或蒸发期间利用到更多的先趋物质。该等固体的颗粒810亦可在被沉积到该罐子800内之前被去气及清洗用以除污染物,水蒸气及类此者。
在一举例性的操作模式中,该来源罐子300的下区434至少部分地被填充六羰基化钨与该扩散帮浦油的一混合物,用以形成该泥浆412。泥浆412被保持在约5托耳的压力且被一位在靠近该来源罐子300的一电阻式加热器430加热至范围在约40℃至约50℃的温度。以氩气形式存在的载运气体在约400sccm的流率下经由该入口端406流入到该上区418。氩气在经由出口端408离开该来源罐子300之前系流动在由通过该等挡板410之该弯曲的路径所界定的一延伸的平均流路径中,且有利地增长氩气在该来源罐子300的上区418中的平均停留时间。在该来源罐子300中的此增加的停留时间可有利地提高该被升华的六羰基化钨蒸气在该来源罐子300内的饱和程度。又,该通过诸挡板410的弯曲路径有利地让该先趋物质414之所有外露的表面积都曝露在该载运气体流中,使得该先趋物质414可被均匀地消耗及先趋物气体可被均匀地产生。
图7显示加热先趋物质414的另一实施例。详言之,图7显示一被一罐子加热器730所包围的罐子700的剖面图,该加热器被建构成可可在该罐子700的一下区434与该罐子700的一上区418之间产生一温度梯度,其中该下区434为最冷的区域,而该上区418则是最热的区域。该温度梯度的范围是在约5℃至约15℃之间。因为固体先趋物倾向于在该罐子700的最冷的区域处累积或凝结,所以罐子加热器730被建构成可确保固体的先趋物质414将会在罐子700的下区434处累积,藉以提高该固体先区物质414会在何术凝结的可预期性及固体的先趋物质414的温度的可预期性。该罐子加热器730包括一设置在该罐子加热器730内部的加热元件750,使得包括该上区428与下区434在内的整个罐子700被该罐子加热器730所加热。靠近上区418的加热元件750可被建构成能够产生比靠近下区434的加热元件750更多的热,藉以让该罐子加热器730可在该下区434与该上区418之间产生该温度梯度。在一实施例中,该加热元件750被建构成可让在上区418的温度为比在下区434的温度高约5℃至约15℃。在另一实施例中,该加热元件750被建构成可让在上区418的温度为约70℃,在下区434的温度约为60℃且在罐子700的侧壁上的温度约为65℃。该加热元件750的功率在208VAC输入下为约600W。
该罐子加热器730亦可包括一位在该罐子加热器730的底部上的冷却板720,用来进一步确保该罐子700的最冷区域为下区434,及藉以确保固体先趋物质414在下区434凝结。该冷却板720的形状亦可以是环状。又,阀312,314,集油槽450,入口端406及出口端408可用一电阻式加热带来加热。因为上区418被建构成具有一比下区434高的温度,所以挡板410可被用来将热从上区418传递到下区434,藉以让该罐子加热器730能够保持所想要的温度梯度。
图9显示多个从该罐子700的底部432延伸至上区418的筒仓910的剖面图。图10显示多个从该罐子700的底部432延伸至上区418的筒仓910的顶视图。筒仓910被建构成可降低在该先趋物质414中的温度梯度,藉以将该先趋物质414内部的温度保持大致均匀。筒仓910可从该底部432延伸至该先趋物质414及液体416的上表面的上方一点处。筒仓910可以是柱件或鳍片的形式。筒仓910是由一导热材质制成的,如不锈钢,铝及类此者。
图9进一步显示一设在该来源罐子700的内部空间438内的入口管子422。管子422的第一端424被耦合至该来源罐子700的入口端406且在其第二端426处终止于该来源罐子700的上区418中。管子422将载运气体注入到该来源罐子700的上区418中的靠近该先趋物质414或该泥浆412的位置处。该第二端426进一步被设计成将气体流导向侧壁402,藉以防止一直接(线性的或直线的)气体流通过罐子700的埠406与408之间,产生一延伸的平均流路径径。
图5显示一用来产生一处理气体的罐子500的另一实施例的剖面图。该罐子500包括一侧壁402,一盖子404及一底部432,它们圈围出一内部空间428。盖子404或侧壁402中的至少一者包含一入口端406及一出口端408用来让气体进入及离开。该罐子500的内部空间438被分割成上区418及下区434。先趋物质414至少部分地填充该下区434。该先驱物质414可以是固体,液体或泥浆,且被设计成可通过升华及/或蒸发来产生处理气体。
一管子502被设置在该罐子500的内部空间438中且被设计成将该罐子500内的一气流导引离开该先趋物质414,用以有利地防止流出该管子502的气体直接撞击到该先驱物质414并造成颗粒变成空气传播(airborne)且被载运通过该出口端408并进入到该处理室306中。该管子502在其第一端504处被耦合至该入口端406。管子502从第一端504延伸至一第二端526A,其被放置在该上区418内的高于该先趋物质414上方的位置。该第二端526A被设计成可将该气体流朝向侧壁402导引,因而防止一直接(线性的或直线的)气体流通过罐子500的埠406与408之间,产生一延伸的平均流路径径。
在一实施例中,该管子502的第二端526A的出口506被相对于该罐子500的一中心轴508定向在一介于15度至约90度的角度上。在另一实施例中,管子502具有一”J”型的第二端526B其将离开该出口506的气体流朝向该罐子500的盖子404导引。在另一实施例中,该管子502具有一加了帽盖的第二端526C其具有一插塞或帽盖510其将该管子502关闭起来。该加了帽盖的第二端526C具有至少一开孔528形成在该管子502靠近该帽盖510的一侧上。离开该开孔528的气体典型地被导引与该中心轴508正交且远离设置在该罐子500的下区434中的先趋物质414。非必要地,至少一上文所述的挡板410(以虚线示出)可被设置在该罐子500内且与上述实施例的管子502并排被使用。
在一举例性的操作中,该罐子500的下区434至少部分地被填充了六羰基化钨与扩散帮浦油的混合物用以形成泥浆412。泥浆412被保持在约5托耳的压力且被一位在靠近该罐子500的一电阻式加热器430加热至范围在约40℃至约50℃的温度。以氩气形式存在的载运气体在约200sccm的流率下经由该入口端406及管子502流入到该上区418。该管子502的第二端526A将该载运气体流导引至一远离该出口端408之延伸的平均流路径中,且有利地增长氩气在该罐子500的上区418中的平均停留时间并防止载运气体流接朝向该先趋物质414用以将颗粒的产生减到最少。在该罐子500中的此增加的停留时间可有利地提高该被升华的六羰基化钨蒸气在该罐子500内的饱和程度,同时降低颗粒产生以改善产品良率并降低下游的污染。
图6显示一用来产生一处理气体的罐子600的另一实施例的剖面图。该罐子600包括一侧壁402,一盖子404及一底部432,它们圈围出一内部空间428。盖子404或侧壁402中的至少一者包含一入口端406及一出口端408用来让气体进入及离开。入口端及出口端406,408被耦合至嵌设有匹配的断开配件436A,436B的阀312,314,用以方便罐子600从该气体输送系统304上取下。非必要地,一集油槽450被耦合在该出口端408与该阀314之间,用以补捉出现在流到该处理室306的气体中之任何油粒子。
该罐子600的内部空间438被分割成上区418及下区434。先趋物质414及一液体416至少部分地填充该下区434。一管子602被设置在该罐子600的内部空间438中且被设计成可将该罐子600内的一第一气体流F1导引离开该先趋物质与液体混合物,并导引一第二气体流F2通过该混合物。气体流F1比气体流F2要大许多。气体流F2被建构成如一起泡器般作用,其大到足以搅动该先趋物质与液体混合物,但不足以造成先趋物质414或液体416的颗粒或液滴变成空气传播。因此,此实施例有利地搅动该先趋物质与液体混合物,同时将导因于流出该管子502的气体直接撞击到该先驱物质414造成颗粒变成空气传播(airborne)且被载运通过该出口端408并进入到该处理室306中减至最少。
管子602在其第一端604处被耦合至该入口端406。管子602从第一端604延伸至一第二端606,其位在该罐子600的下区434内的该先趋物质与液体混合物中。该管子602具有一开孔608其被设置在该罐子600的上区418中且将该第一气体流F1朝向该罐子600的一侧壁402导引。该管子602具有一束缩部610其被设置在该罐子600的上区418中之位在该开孔608底下的地方。该束缩部610用来减少第一气体流F2流向该管子602的第二端606及进入到泥浆412中。通过调整该束缩量,第一及第二气体流F1,F2的相对流率就可以被调节。此调节至少有两个目的。第一个,该第二气体流F2可被最小化用以提供刚好足够的搅动来保持该先趋物质414在该液体416中的悬浮或混合,同时将颗粒的产生及该处理室306的潜在污染减到最少。第二,该第一气体流F1可被调节用以保持必要的总流体体积,用以提供被需要之从该先趋物质414被升华的及/或被蒸发的数量至该处理室306。
非必要地,至少一上述的挡板410可被设置在该罐子600中且与上述实施例的管子602并排被使用。
虽然以上所述系有关于本发明的较佳实施例,但本发明之其它及进一步的实施例亦可在不偏离本发明的基本范围下被完成,而本发明的范围是由下面的申请专利范围来界定的。
权利要求书
(按照条约第19条的修改)
1.一种用来产生一可用于半导体处理系统的先趋物的设备,其至少包含:
一罐子,其界定出一具有一上区及一下区的内部空间;
一先趋物质,其至少部分地填充该罐子的下区;以及至少一筒仓,其从该罐子的下区延伸至该上区。
2.根据权利要求1所述的设备,其特征在于,该至少一筒仓系一鳍片或一柱件。
3.根据权利要求2所述的设备,其特征在于,该至少一筒仓系一导热材料。
4.根据权利要求3所述的设备,其特征在于,该导热材料系选自由铝、不锈钢、以及其等的组合物所组成群组。
5.根据权利要求4所述的设备,其特征在于,至少有三个筒仓延伸自该罐子的底部。
6.根据权利要求2所述的设备,其特征在于,一气体流入口管系适合产生一非线性气体流进入该罐子的上区。
7.根据权利要求6所述的设备,其特征在于,该线性气流适合在该罐子的上区中产生一气体的提高饱和程度。
8.根据权利要求7所述的设备,其特征在于,该气体流入口管从该罐子的上区延伸至该罐子的下区。
9.根据权利要求6所述的设备,其特征在于,该气体流入口管包含一束缩部。
10.根据权利要求9所述的设备,其特征在于,该气体流入口管包含至少一开口位在该束缩部之前的位置。
11.根据权利要求10所述的设备,其特征在于,该至少一开口适合提供一非线性气体流进入到该罐子的上区中。
12.根据权利要求2所述的设备,其特征在于,该先趋物包含钽。
13.根据权利要求12所述的设备,其特征在于,该先趋物包含伍(二甲醯胺基)钽,该伍(二甲醯胺基)钽之氯含量约等于或小于5ppm。
14.一种用来产生一可用于半导体处理系统的先趋物的设备,其至少包含:
一罐子,其界定出一具有一上区及一下区的内部空间;以及
一钽先趋物,具有氯含量约等于或小于5ppm,该钽先趋物至少部分地填充该罐子的下区。
15.根据权利要求14所述的设备,其特征在于,该先趋物包含伍(二甲醯胺基)钽,
16.根据权利要求14所述的设备,其特征在于,该至少有一个筒仓,从位于下区内之该罐子的底部延伸至该上区。
17.根据权利要求16所述的设备,其特征在于,该其中该至少一筒仓系一鳍片或一柱件。
18.根据权利要求17所述的设备,其特征在于,该至少一筒仓系一导热材料。
19.根据权利要求18所述的设备,其特征在于,该导热材料系选自由铝、不锈钢、以及其等的组合物所组成群组。
20.根据权利要求19所述的设备,其特征在于,至少有三个筒仓延伸自该罐子的底部。
21.根据权利要求16所述的设备,其特征在于,一气体流入口管适合产生一非线性气体流进入该罐子的上区。
22.根据权利要求21所述的设备,其特征在于,该非线性气流适合在该罐子的上区中产生一气体的提高饱和程度。
23.根据权利要求22所述的设备,其特征在于,该气体流入口管从该罐子的上区延伸至该罐子的下区。
24.根据权利要求21所述的设备,其特征在于,该气体流入口管包含一束缩部。
25.根据权利要求24所述的设备,其特征在于,该气体流入口管包含至少一开口位在该束缩部之前的位置。
26.根据权利要求25所述的设备,其特征在于,该至少一开口适合提供一非线性气体流进入到该罐子的上区中。
27.一种用来产生一可用于半导体处理系统的先趋物的设备,其至少包含:
一罐子,其界定出一具有一上区及一下区的内部空间;
一先趋物质,其至少部分地填充该罐子的下区;以及
一气体流入口管子,适合以一从该先趋物离开的方向喷射一载运气体进入该罐子。
28.根据权利要求27所述的设备,其特征在于,该气体流入口管子系适合产生一非线性气体流进入该罐子的上区。
29.根据权利要求28所述的设备,其特征在于,该非线性气体流适合在该罐子的上区中产生一气体的提高饱和程度。
30.根据权利要求27所述的设备,其特征在于,该气体流入口管从该罐子的上区延伸至该罐子的下区。
31.根据权利要求30所述的设备,其特征在于,该气体流入口管适合提供一第一气体流进入到该罐子的上区中。
32.根据权利要求30所述的设备,其特征在于,该气体流入口管适合提供一第二气体流进入到该罐子的下区中。
33.根据权利要求30所述的设备,其特征在于,该气体流入口管包含一束缩部。
34.根据权利要求33所述的设备,其特征在于,该气体流入口管包含至少一开口位在该束缩部之前的位置。
35.根据权利要求34所述的设备,其特征在于,该开口适合提供一气体流进入到该罐子的上区中。
36.根据权利要求32所述的设备,其特征在于,该流到该下区中的第二气体流适合保持该先趋物质的悬浮。
37.根据权利要求31所述的设备,其特征在于,该第二气体流适合保持一整体的气流体积。
38.根据权利要求27所述的设备,其特征在于,该先趋物包含钽。
39.根据权利要求38所述的设备,其特征在于,该先趋物包含伍(二甲醯胺基)钽,该伍(二甲醯胺基)钽之氯含量约等于或小于5ppm。
40.一种用来产生一可用于半导体处理系统的先趋物的设备,其至少包含:
一罐子,其具有一侧壁、一顶部及一底部,其中该罐子界定出一具有一上区及一下区的内部空间。
至少一筒仓,其从该上区延伸至该下区。
41.根据权利要求40所述的设备,其特征在于,该其中该至少一筒仓系一鳍片或一柱件。
42.根据权利要求41所述的设备,其特征在于,该至少一筒仓包含一导热材料选自由铝、不锈钢、以及其等之组合物组成群组。
43.根据权利要求40所述的设备,其特征在于,一先趋物质系至少部分地填充该罐子的下区。
44.根据权利要求43所述的设备,其特征在于,该先趋物包含钽。
45.根据权利要求44所述的设备,其特征在于,该先趋物包含伍(二甲醯胺基)钽,该伍(二甲醯胺基)钽之氯含量约等于或小于5ppm。

Claims (45)

1.一种填充在一基材上的一或多个特征结构的方法,其至少包含:
沉积一阻障层于该基材上,该阻障层是由杂质含量在5ppm以下的纯化的伍(二甲醯胺基)钽所制成;
沉积一种晶层于该阻障层之上;及
沉积一导电层于该种晶层之上。
2.根据权利要求1所述的方法,其特征在于,更包含将伍(二甲醯胺基)钽升华,移除至少一部分的钽氧醯胺化物(tantalum oxo amides)并形成纯化的伍(二甲醯胺基)钽。
3.根据权利要求1所述的方法,其特征在于,该导电层包含铜。
4.根据权利要求1所述的方法,其特征在于,该阻障层是藉由原子层沉积来形成的。
5.根据权利要求1所述的方法,其特征在于,该杂质是选自于由氯、锂、铁、氟、溴、碘及其之组合所构成的组群。
6.根据权利要求1所述的方法,其特征在于,从纯化的伍(二甲醯胺基)钽沉积一阻障层所得到的一导电层其具有的瑕疵比从一未纯化的伍(二甲醯胺基)钽沉积一阻障层所得到的导电层的瑕疵要来得少。
7.一种沉积一氮化钽阻障层于一基材上的方法,其至少包含:
将一纯化的伍(二甲醯胺基)钽引入到被放置有一基材的处理室中,用以形成一含钽层于该基材上,该纯化的伍(二甲醯胺基)钽的杂质含量在5ppm以下;及
将一含氮化合物引入到该处理室中,用以形成一含氮层于该基材上。
8.根据权利要求7所述的方法,其特征在于,该基材之温度介于约20℃至约500℃之间。
9.根据权利要求7所述的方法,其特征在于,该处理室的压力约为100托耳(torr)或更小。
10.根据权利要求7所述的方法,其特征在于,该杂质是选自于由氯、锂、铁、氟、溴、碘及其之组合所构成的组群。
11.根据权利要求7所述的方法,其特征在于,该含氮化合物包含氨气。
12.根据权利要求7所述的方法,其特征在于,该含氮化合物系选自于由氨、、二甲基、第三丁基、苯基、其之衍生物,及其之组合所构成的组群。
13.根据权利要求7所述的方法,其特征在于,该阻障层是藉由原子层沉积来形成。
14.根据权利要求7所述的方法,其特征在于,该基材的温度被选定为可让超过50%的阻障层沉积是由化学吸收作用来达成的温度。
15.根据权利要求7所述的方法,其特征在于,该纯化的伍(二甲醯胺基)钽在被引入到该处理室之前系先被升华。
16.根据权利要求7所述的方法,其特征在于,其更包含在形成该含钽层于该基材上时,去除至少一部分的伍(二甲醯胺基)钽。
17.一种纯化的伍(二甲醯胺基)钽,其杂质含量在5ppm以下。
18.根据权利要求17所述的纯化的伍(二甲醯胺基)钽,其特征在于,该杂质是选自于由钽氧基醯胺化物、氯、锂、铁、氟、溴、碘及其之组合所构成的组群。
19.根据权利要求18所述的纯化的伍(二甲醯胺基)钽,其特征在于,该纯化的伍(二甲醯胺基)钽系被升华以降低钽氧基醯胺化物的浓度。
20.一种用来产生一可用于半导体处理系统的先趋物的设备,其至少包含:
一罐子,其具有一侧壁、一顶部及一底部,其中该罐子界定出一具有一上区及一下区的内部空间;及
一包围该罐子的加热器,其中该加热器在该上区与下区之间产生一温度梯度。
21.根据权利要求20所述的设备,其特征在于,该温度梯度的范围是介于约5℃至15℃间。
22.根据权利要求20所述的设备,其特征在于,该下区具有一比上区低的温度。
23.根据权利要求22所述的设备,其特征在于,该下区具有一比上区的温度低约5℃至15℃的温度。
24.根据权利要求20所述的设备,其特征在于,该加热器被设置在靠近该罐子的侧壁处。
25.根据权利要求20所述的设备,其特征在于,该加热器被设置在该罐子的外部的周围。
26.根据权利要求25所述的设备,其特征在于,设置在该罐子的外部周围的该加热器被建构成可在该罐子的上区产生较多的热。
27.根据权利要求20所述的设备,其特征在于,其更包含一冷却板,其被设置在靠近该罐子的底部处。
28.根据权利要求20所述的设备,其特征在于,该罐子包含一热传递媒体,其将该上区连接至该下区。
29.根据权利要求28所述的设备,其特征在于,该热传递碚体为至少一从该顶部延伸至该下区的挡板。
30.根据权利要求20所述的设备,其特征在于,其更包含至少一筒仓,其从该罐子的底部延伸至该上区。
31.根据权利要求30所述的设备,其特征在于,该至少一筒仓是一邮箱及一鳍片中的至少一者。
32.根据权利要求20所述的设备,其特征在于,其更包含:
一先趋物质,其至少部分地填充该罐子的下区;及
复数个与该先趋物质相混合之固体颗粒,其中该等固体颗粒是不会于该先趋物质起反应,且具有一相对于该先趋物质而言可被忽略的蒸气压力,且不会溶解在该先趋物质中,且被建构成可将热从侧壁传递至该罐子。
33.根据权利要求32所述的设备,其特征在于,其更包含:
一先趋物质,其至少部分地填充该罐子的下区;及
至少一筒仓,其从该罐子的底部延伸至该上区。
34.根据权利要求33所述的设备,其特征在于,该至少一筒仓被建构成可降低在该先趋物质中的温度梯度。
35.一种用来产生一可用于半导体处理系统的先趋物的设备,其至少包含:
一罐子,其界定出一具有一上区及一下区的内部空间;
一先趋物质,其至少部分地填充该罐子的下区;及
一气流入口管,其被设必成可在一远离该先趋物质的方向上将一载运气体注入该罐子中。
36.根据权利要求35所述的设备,其特征在于,该气流入口管被设计成可产生一进入到该罐子上区中的气体流。
37.根据权利要求36所述的设备,其特征在于,该线性气流被设计成可在该罐子的上区中产生一提高的气体饱和程度。
38.根据权利要求35所述的设备,其特征在于,该气流入口管从该罐子的上区延伸至该罐子的下区。
39.根据权利要求38所述的设备,其特征在于,该气流入口管被设计成可提供一第一气体流进入到该罐子的上区中。
40.根据权利要求39所述的设备,其特征在于,该气流入口管被设计成可提供一第二气体流进入到该罐子的下区中。
41.根据权利要求38所述的设备,其特征在于,该气流入口管包含一束缩部。
42.根据权利要求41所述的设备,其特征在于,该气流入口管包含至少一开口位在该束缩部之前的位置。
43.根据权利要求42所述的设备,其特征在于,该开口被设计成可提供一气体流进入到该罐子的上区中。
44.根据权利要求40所述的设备,其特征在于,流到该下区中的第二气体流被设计成可保持该先趋物质的悬浮。
45.根据权利要求40所述的设备,其特征在于,该第二气体流被设计成可保持一整体的气流体积。
CN2004800147104A 2003-05-27 2004-05-27 一种用来产生一可用于半导体处理系统的前体的方法和设备 Expired - Fee Related CN1795290B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/447,255 2003-05-27
US10/447,255 US6905541B2 (en) 2002-07-17 2003-05-27 Method and apparatus of generating PDMAT precursor
US47747803P 2003-06-05 2003-06-05
US60/477,478 2003-06-05
PCT/US2004/016715 WO2004106584A1 (en) 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system

Publications (2)

Publication Number Publication Date
CN1795290A true CN1795290A (zh) 2006-06-28
CN1795290B CN1795290B (zh) 2010-06-16

Family

ID=33493044

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800147104A Expired - Fee Related CN1795290B (zh) 2003-05-27 2004-05-27 一种用来产生一可用于半导体处理系统的前体的方法和设备

Country Status (5)

Country Link
EP (1) EP1636400A1 (zh)
JP (1) JP5342110B2 (zh)
KR (1) KR101104058B1 (zh)
CN (1) CN1795290B (zh)
WO (1) WO2004106584A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103266309A (zh) * 2008-04-22 2013-08-28 皮考逊公司 用于淀积反应器的设备
TWI504774B (zh) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd 高純度pdmat前驅物蒸氣之製作方法
CN105026613A (zh) * 2013-02-28 2015-11-04 应用材料公司 金属氨化物沉积前驱物及采用惰性安瓿衬里的此类前驱物的稳定化
CN105132886A (zh) * 2015-09-11 2015-12-09 兰州空间技术物理研究所 一种改善管状基底内表面沉积薄膜均匀性的方法

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
JP5073751B2 (ja) * 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5761067B2 (ja) * 2012-02-13 2015-08-12 東京エレクトロン株式会社 ガス供給装置及び熱処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
KR20200020608A (ko) 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. 고체 소스 승화기
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000252269A (ja) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp 液体気化装置及び液体気化方法
US6274195B1 (en) * 1999-04-30 2001-08-14 Postech Foundation Organometallic complex process for the preparation thereof and metal organic chemical vapor deposition using same
JP2001049434A (ja) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
JP4672897B2 (ja) * 2001-04-13 2011-04-20 田中貴金属工業株式会社 ビス(シクロペンタジエニル)ルテニウム誘導体の製造方法
JP4757403B2 (ja) * 2001-06-01 2011-08-24 東京エレクトロン株式会社 固体原料気化装置
JP2005528776A (ja) * 2001-09-26 2005-09-22 アプライド マテリアルズ インコーポレイテッド バリア層とシード層の一体化
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
TW563176B (en) * 2001-10-26 2003-11-21 Applied Materials Inc Gas delivery apparatus for atomic layer deposition

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103266309A (zh) * 2008-04-22 2013-08-28 皮考逊公司 用于淀积反应器的设备
CN103266309B (zh) * 2008-04-22 2019-05-21 皮考逊公司 用于淀积反应器的设备
CN105026613A (zh) * 2013-02-28 2015-11-04 应用材料公司 金属氨化物沉积前驱物及采用惰性安瓿衬里的此类前驱物的稳定化
CN112323039A (zh) * 2013-02-28 2021-02-05 应用材料公司 金属氨化物沉积前驱物及采用惰性安瓿衬里的此类前驱物的稳定化
TWI504774B (zh) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd 高純度pdmat前驅物蒸氣之製作方法
CN105132886A (zh) * 2015-09-11 2015-12-09 兰州空间技术物理研究所 一种改善管状基底内表面沉积薄膜均匀性的方法
CN105132886B (zh) * 2015-09-11 2018-03-23 兰州空间技术物理研究所 一种改善管状基底内表面沉积薄膜均匀性的方法

Also Published As

Publication number Publication date
WO2004106584A1 (en) 2004-12-09
JP5342110B2 (ja) 2013-11-13
EP1636400A1 (en) 2006-03-22
KR101104058B1 (ko) 2012-01-06
JP2007501536A (ja) 2007-01-25
CN1795290B (zh) 2010-06-16
KR20060052683A (ko) 2006-05-19
WO2004106584B1 (en) 2005-02-17

Similar Documents

Publication Publication Date Title
CN1795290A (zh) 一种用来产生一可用于半导体处理系统的先趋物的方法和设备
US7524374B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
US8062422B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
CN1174117C (zh) 从钽卤化物前体得到的热化学气相沉积钽氮化物膜的等离子体处理方法
US9196474B2 (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
CN1144898C (zh) 从钽卤化物前体得到的钽氮化物膜的等离子增强的化学气相沉积方法
CN103443901B (zh) 选择性沉积外延锗合金应力源的方法与设备
US20090155606A1 (en) Methods of depositing a silicon nitride film
CN1150348C (zh) 自钽卤化物前体获得整体式的钽和钽氮化物膜的化学气相沉积方法
TW201247589A (en) Low temperature GST process
JPS634632B2 (zh)
WO2005104191A1 (en) Methods for producing ruthenium film and ruthenium oxide film
JP2007526399A (ja) 絶縁膜または金属膜を形成する方法
CN1671883A (zh) 铜膜沉积方法
CN1270413A (zh) 在半导体器件中形成铜布线的方法
US6596888B2 (en) MOCVD of WNx thin films using imido precursors
TW573045B (en) PECVD of Ta films from tantalum halide precursors
CN106498361A (zh) 一种以肼类为还原剂单原子层沉积技术生长金属Cu的方法
JP5583078B2 (ja) 半導体処理システムのための前駆物質を生成する方法及び装置
CN106119805A (zh) 一种掺杂改性的镍金属薄膜及其制备方法
KR100268804B1 (ko) 반도체소자의 금속배선 형성방법
JP2003335740A (ja) タンタル錯体及び該錯体を含む有機金属化学蒸着法用溶液原料並びにこれを用いて作製されたタンタル含有薄膜
TW202340509A (zh) 氣相沉積製程及沉積總成
TWI389219B (zh) 形成介電或金屬薄膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: APPLIED MATERIALS, Inc.

Address before: California, USA

Patentee before: APPLIED MATERIALS, Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100616

CF01 Termination of patent right due to non-payment of annual fee