CN103184430A - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
CN103184430A
CN103184430A CN2012105801295A CN201210580129A CN103184430A CN 103184430 A CN103184430 A CN 103184430A CN 2012105801295 A CN2012105801295 A CN 2012105801295A CN 201210580129 A CN201210580129 A CN 201210580129A CN 103184430 A CN103184430 A CN 103184430A
Authority
CN
China
Prior art keywords
mentioned
film
gas
reactant gases
oxygen plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012105801295A
Other languages
English (en)
Other versions
CN103184430B (zh
Inventor
加藤寿
田村辰也
熊谷武司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103184430A publication Critical patent/CN103184430A/zh
Application granted granted Critical
Publication of CN103184430B publication Critical patent/CN103184430B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提供一种成膜方法,该成膜方法用于在形成有凹部的基板上形成膜,该膜由容易吸附于羟基的第1反应气体和能同该第1反应气体发生反应的第2反应气体这两者的反应生成物构成,该成膜方法包括:控制步骤,对羟基在上述基板的上述凹部的深度方向上的吸附分布进行控制;第1反应气体供给步骤,向吸附有上述羟基的上述基板上供给上述第1反应气体;第2反应气体供给步骤,向吸附有上述第1反应气体的上述基板上供给上述第2反应气体。

Description

成膜方法
技术领域
本发明涉及一种通过将彼此会发生反应的至少两种反应气体交替向基板供给,使两反应气体的反应生成物在基板上成膜的成膜方法。
背景技术
在集成电路(IC)的制造工艺中具有利用氧化硅填埋例如沟槽、导通孔、线·空间·图案中的空间等凹部的工序。例如利用例如化学气相沉积(CVD)法在沟槽内进行氧化硅的成膜时,由于反应气体(前体(日文:プレカーサ))在扩散至沟槽的底部之前在气相中发生反应、或吸附于沟槽侧面,因此,具有在沟槽的开口附近的膜厚较厚(形成所谓的外伸(日文:オーバーハング))的倾向。并且,在沟槽的开口附近被氧化硅密封时,有时在沟槽内形成空洞(空隙)(例如专利文献1)。
已知有通过将彼此会发生反应的至少两种反应气体交替向基板供给,而利用两反应气体的反应生成物在基板上成膜的被称作原子层沉积(ALD)法(分子层成膜(MLD)法)的成膜方法。在该成膜方法中,吸附于基底层的一原料气体与其他原料气体发生反应,生成反应生成物,因此,与CVD法相比,能够实现与基底层的截面形状相对应地形成膜。即,能够减少外伸、空隙的形成。
但是,应IC的高集成化的需求,沟槽等凹部的深宽比越来越高。因此,在ALD法中,不仅要求以不形成空隙的方式填埋沟槽等,还要求对形成在沟槽等的凹部的内表面上的薄膜的膜厚分布进行控制。
专利文献1:日本特开2007-42884号公报
专利文献2:国际公开第2008/010546号
发明内容
本发明是参照上述状况而做成的,提供一种在向形成于基板的凹部成膜时、能够控制膜厚分布的成膜方法。
采用本发明的技术方案,能够提供一种成膜方法,该成膜方法用于在形成有凹部的基板上形成膜,该膜由容易吸附于羟基的第1反应气体和能同该第1反应气体发生反应的第2反应气体这两者的反应生成物构成,该成膜方法包括:控制步骤,对羟基在上述基板的上述凹部的深度方向上的吸附分布进行控制;第1反应气体供给步骤,向吸附有上述羟基的上述基板上供给上述第1反应气体;第2反应气体供给步骤,向吸附有上述第1反应气体的上述基板上供给上述第2反应气体。
附图说明
结合附图阅读下面的详细说明能进一步了解本发明的其它目的,特征和优点。
图1是表示实施方式的成膜装置的概略剖视图。
图2是表示图1的成膜装置的真空容器内的结构的概略立体图。
图3是表示图1的成膜装置的真空容器内的结构的概略俯视图。
图4是图1的成膜装置的真空容器的概略剖视图,是沿以旋转台能旋转的方式设于该真空容器内的旋转台的同心圆剖切而成的概略剖视图。
图5是图1的成膜装置的其他的概略剖视图。
图6是表示设于图1的成膜装置的等离子体产生源的概略剖视图。
图7是表示设于图1的成膜装置的等离子体产生源的其他的概略剖视图。
图8是表示设于图1的成膜装置的等离子体产生源的概略俯视图。
图9是用于说明实施方式的成膜方法的示意图。
图10是用于说明实施方式的成膜方法的示意图。
图11是用于说明实施方式的成膜方法的变形例的示意图。
图12是用于说明实施方式的成膜方法的变形例的示意图。
图13是用于说明实施方式的成膜方法的变形例的示意图。
图14是用于说明实施方式的成膜方法的变形例的示意图。
图15是表示在实施例所使用的晶圆上形成的孔的形状的示意图。
图16是表示实施例的结果的坐标图。
图17是表示实施例的结果的图。
图18是表示实施例的结果的图。
具体实施方式
以下,参照附图说明本发明的不是用来限定本发明的示例的实施方式。在全部附图中,对同一或相对应的构件或零件标注同一或相对应的参照附图标记,省略重复的说明。另外,附图的目的并不在于表示构件或零件间的相对比例,因此,具体的尺寸应参照以下的不是用来限定本发明的实施方式,由本领域技术人员决定。
(成膜装置)
首先,对实施本发明的实施方式的成膜方法所优选的成膜装置进行说明。
图1是表示成膜装置1的结构的一例的剖视图。
成膜装置1包括:真空容器10、旋转台2、加热单元7、壳体20、芯部21、旋转轴22、驱动部23。真空容器10具有大致圆形的平面形状。真空容器10具有:容器主体12,其具有有底的圆筒状;顶板11,其配置在容器主体12的上表面。顶板11借助例如O型环等密封构件13(图1)气密地并能够安装/拆卸地配置在容器主体12上。
旋转台2设在真空容器10内,在真空容器10的中心具有旋转中心。旋转台2在中心部固定于圆筒状的芯部21。芯部21固定于沿铅垂方向延伸的旋转轴22的上端。旋转轴22贯通真空容器10的底部14,且其下端安装于使旋转轴22(图1)绕铅垂轴线旋转的驱动部23。旋转轴22及驱动部23收容在上表面开口的筒状的壳体20内。设在壳体20的上表面的凸缘部分气密地安装于真空容器10的底部14的下表面,使壳体20的内部气氛与外部气氛隔离。
图2及图3是说明真空容器10内的构造的图。为了便于说明,省略了顶板11的图示。
如图2及图3所示,在旋转台2的表面沿图中箭头A所示的旋转方向(周向)设有圆形的凹部24,该凹部24用于载置多张(在图示的例子中为5张)半导体晶圆(以下称作“晶圆”)W。其中,为了便于说明,在图3中例示了仅在1个凹部24载置有晶圆W的情况。该凹部24具有比晶圆W的直径(例如300mm)稍大例如4mm的内径、与晶圆W的厚度大致相同的深度。因此,在将晶圆W载置于凹部24时,晶圆W的表面与旋转台2的表面(没有载置晶圆W的区域)位于相同高度。
在凹部24的底面形成有供例如3根升降销贯通的贯通孔(全部未图示),该升降销用于支承晶圆W的背面、使晶圆W升降。
在旋转台2的上方,沿真空容器10的周向(旋转台2的旋转方向(图3中的箭头A))彼此之间隔有间隔地配置有分别由例如石英构成的反应气体喷嘴31、反应气体喷嘴32、分离气体喷嘴41、42及气体导入喷嘴92。在图示的例子中,自后述的输送口15沿顺时针方向(旋转台2的旋转方向)依次排列有气体导入喷嘴92、分离气体喷嘴41、反应气体喷嘴31、分离气体喷嘴42及反应气体喷嘴32。各喷嘴92、31、32、41、42的基端部、即气体导入口92a、31a、32a、41a、42a(图3)固定于容器主体12的外周壁,由此上述喷嘴92、31、32、41、42被从真空容器10的外周壁向真空容器10内导入,并沿着容器主体12的半径方向相对于旋转台2以沿水平延伸的方式安装。
另外,在气体导入喷嘴92的上方如图3中由虚线简略化所示那样设有等离子体产生源80。等离子体产生源80如后述。
在本实施方式中,反应气体喷嘴31经由未图示的配管及流量控制器等与作为第1反应气体的含Si(硅)气体的供给源(未图示)连接。反应气体喷嘴32经由未图示的配管及流量控制器等与作为第2反应气体的氧化气体的供给源(未图示)连接。
分离气体喷嘴41、42均经由未图示的配管及流量控制阀等与作为分离气体的氮(N2)气体的供给源(未图示)连接。
在本实施方式中,含Si气体使用有机氨基硅烷气体,氧化气体使用O3(臭氧)气体。
在反应气体喷嘴31、32上沿反应气体喷嘴31、32的长度方向以例如10mm的间隔排列有向旋转台2开口的多个气体喷射孔33。反应气体喷嘴31的下方区域为用于使含Si气体吸附于晶圆W的第1处理区域P1。反应气体喷嘴32的下方区域为使在第1处理区域P1吸附于晶圆W的含Si气体氧化的第2处理区域P2。
参照图2及图3可知,在真空容器10内设有两个凸状部4。凸状部4具有顶部被剖断成圆弧状的大致扇形的平面形状,在本实施方式中,内圆弧与突出部5(后述)连结,外圆弧沿着真空容器10的容器主体12的内周面配置。为了使凸状部4与分离气体喷嘴41、42一同构成分离区域D,而如后述那样将凸状部4以向旋转台2突出的方式安装在顶板11的背面。
图4表示从反应气体喷嘴31到反应气体喷嘴32沿着旋转台2的同心圆的真空容器10的剖面。如图示那样,在顶板11的背面安装有凸状部4,因此在真空容器10内存在有作为凸状部4的下表面的平坦的较低的顶面44(第1顶面)和位于该顶面44的周向两侧的高于顶面44的顶面45(第2顶面)。顶面44具有顶部被剖断成圆弧状的扇形的平面形状。
另外,如图示那样,在凸状部4的周向中央处形成有槽部43,槽部43沿旋转台2的半径方向延伸。在槽部43内收容有分离气体喷嘴42。在另一凸状部4上也同样形成有槽部43,并在该槽部43内收容有分离气体喷嘴41。另外,在较高的顶面45的下方的空间内分别设有反应气体喷嘴31、32。该反应气体喷嘴31、32以离开顶面45的方式设在晶圆W的附近。另外,为了便于说明,如图4所示,设有反应气体喷嘴31的较高的顶面45的下方的空间利用参照附图标记481表示,设有反应气体喷嘴32的较高的顶面45的下方的空间利用参照附图标记482表示。
另外,在收容于凸状部4的槽部43的分离气体喷嘴41、42上沿分离气体喷嘴41、42的长度方向以例如10mm的间隔排列有向旋转台2开口的多个气体喷射孔42h(参照图4)。
顶面44相对于旋转台2形成狭窄的空间、即分离空间H。在从分离气体喷嘴42的喷射孔42h供给N2气体时,该N2气体通过分离空间H向空间481及空间482流动。此时,由于分离空间H的容积小于空间481及空间482的容积,因此在N2气体的作用下能够使分离空间H内的压力高于空间481及空间482内的压力。即,在空间481与空间482之间形成压力较高的分离空间H。另外,从分离空间H向空间481及空间482流出的N2气体作为相对于来自第1区域P1的含Si气体和来自第2区域P2的氧化气体的逆流发挥作用。因此,来自第1区域P1的含Si气体和来自第2区域P2的氧化气体借助分离空间H分离开。因此,能够抑制在真空容器10内含Si气体与氧化气体混合而发生反应。
另外,优选顶面44相对于旋转台2的上表面的高度h1结合成膜时的真空容器10内的压力、旋转台2的转速、欲供给的分离气体(N2气体)的供给量等而设定为适应于如下情况、即分离空间H内的压力高于空间481及空间482内的压力的高度。
另一方面,在顶板11的下表面设有突出部5(图2及图3),该突出部5包围用于固定旋转台2的芯部21的外周。在本实施方式中,该突出部5与凸状部4的旋转中心侧的部位相连,且该突出部5的下表面形成为与顶面44位于同一高度。
图1是图3的I-I’剖视图,表示设有顶面45的区域。另一方面,图5是表示设有顶面44的区域的剖视图。如图5所示,在扇形的凸状部4的周缘部(真空容器10的外缘侧的部位)形成有以与旋转台2的外端面相对的方式弯曲成L字型的弯曲部46。该弯曲部46与凸状部4同样地抑制反应气体从分离区域D的两侧进入,而抑制两反应气体的混合。扇形的凸状部4设于顶板11,顶板11能够自容器主体12卸下,因此在弯曲部46的外周面与容器主体12之间存在很小的间隙。弯曲部46的内周面与旋转台2的外端面之间的间隙及弯曲部46的外周面与容器主体12之间的间隙被设定为例如与顶面44相对于旋转台2的上表面的高度相同的尺寸。
容器主体12的内周壁在分离区域D内如图5所示那样以接近弯曲部46的外周面的方式形成为垂直面,但在分离区域D以外的部位,如图1所示那样例如在从与旋转台2的外端面相对的部位到底部14向外侧凹陷。以下,为了便于说明,将具有大致矩形的剖面形状的凹陷的部分记作排气区域。具体而言,将与第1处理区域P1连通的排气区域记作第1排气区域E1,与第2处理区域P2连通的区域记作第2排气区域E2。在该第1排气区域E1的底部及第2排气区域E2的底部如图1~图3所示那样分别形成有第1排气口610及第2排气口620。第1排气口610及第2排气口620如图1所示那样分别经由排气管630与作为真空排气部件的例如真空泵640连接。其中,在图1中,参照附图标记650为压力控制器。
在旋转台2与真空容器10的底部14之间的空间如图1及图4所示那样设有作为加热部件的加热单元7,该加热单元7用于隔着旋转台2将旋转台2上的晶圆W加热至由工艺制程程序决定的温度(例如450℃)。为了使从旋转台2的上方空间到排气区域E1、E2的气氛与放置加热单元7的气氛区分开以抑制气体进入旋转台2的下方区域,而在旋转台2的周缘附近的下方侧设有环状的罩构件71(图5)。该罩构件71包括:内侧构件71a,其被设置为从下方侧面朝旋转台2的外缘部及比该外缘部靠外周侧的部分;外侧构件71b,其设在该内侧构件71a与真空容器10的内壁面之间。外侧构件71b在分离区域D位于被形成于凸状部4外缘部的弯曲部46的下方,以接近弯曲部46的方式设置,内侧构件71a在旋转台2的外缘部下方(及比外缘部稍微靠外侧的部分的下方)绕整个一周包围加热单元7。
比配置有加热单元7的空间靠旋转中心的部位的底部1 4以接近旋转台2下表面的中心部附近的芯部21的方式向上方侧突出而形成突出部12a。该突出部12a与芯部21之间形成较窄的空间,并且,贯通底部14的旋转轴22的贯通孔的内周面与旋转轴22之间的间隙较窄,这些较窄的空间与壳体20连通。另外,在壳体20上设有用于向较窄的空间内供给作为吹扫气体的N2气体来进行吹扫的吹扫气体供给管72。另外,在真空容器10的底部14,在位于加热单元7下方的部位,沿周向以规定的角度间隔设有用于对加热单元7的配置空间进行吹扫的多个吹扫气体供给管73(在图5中图示了一个吹扫气体供给管73)。另外,为了抑制气体进入设有加热单元7的区域,而在加热单元7与旋转台2之间设有在整个周向上覆盖从外侧构件71b的内周壁(内侧构件71a的上表面)到突出部12a的上端部之间的部分的盖构件7a。盖构件7a例如能够利用石英进行制作。
另外,在真空容器10的顶板11的中心部处连接有分离气体供给管51,该分离气体供给管51构成为能够向顶板11与芯部21之间的空间52供给作为分离气体的N2气体。供给到该空间52的分离气体经由突出部5与旋转台2之间的较窄的间隙50沿着旋转台2的晶圆载置区域侧的表面向周缘喷射。空间50在分离气体的作用下能够维持在比空间481及空间482内的压力高的压力。因此,利用空间50能够抑制供给到第1处理区域P1的含Si气体和供给到第2处理区域P2的氧化气体经由中心区域C而混合。即,空间50(或中心区域C)能够发挥与分离空间H(或分离区域D)相同的作用。
此外,如图2、图3所示,在真空容器10的侧壁形成有用于在外部的输送臂10与旋转台2之间进行作为基板的晶圆W的交接的输送口15。该输送口15在未图示的闸阀的控制下进行开闭。另外,作为旋转台2上的晶圆载置区域的凹部24在面朝该输送口15的位置与输送臂10之间进行晶圆W的交接,因此在旋转台2的下方侧的与交接位置相对应的部位设有贯通凹部24而用于从背面抬起晶圆W的交接用的升降销及该升降销的升降机构(均未图示)。
接下来,参照图6~图8说明等离子体产生源80。图6是沿旋转台2的半径方向的等离子体产生源80的概略剖视图。图7是沿与旋转台2的半径方向正交的方向的等离子体产生源80的概略剖视图。图8是表示等离子体产生源80的概略的俯视图。为了便于图示,在上述图中使一部分构件简化。
参照图6可知,等离子体产生源80包括:框架构件81,其嵌入在形成于顶板11的开口部11a内,由高频透过性的材料制作而成,具有自上表面凹陷的凹部;法拉第屏蔽板82,其收容在框架构件81的凹部内,具有上部开口的大致箱状的形状;绝缘板83,其配置在法拉第屏蔽板82的底面上;天线85,其呈线圈状,支承在绝缘板83的上方,具有大致八边形的俯视形状。
顶板11的开口部11a具有多个台阶部,在其中的一个台阶部上绕一周形成有槽部,在该槽部内嵌入有例如O型环等密封构件81a。另一方面,框架构件81具有与开口部11a的台阶部相对应的多个台阶部,在将框架构件81嵌入开口部11a时,框架构件81的多个台阶部中的一个台阶部的背面与嵌入在开口部11a的槽部内的密封构件81a接触,由此,能够维持顶板11与框架构件81之间的气密性。另外,如图6所示,设有沿着框架构件81的外周的按压构件81c,该框架构件81嵌入在顶板11的开口部11a内,由此,框架构件81相对于顶板11被向下方压住。因此,能够进一步可靠地维持顶板11与框架构件81之间的气密性。
框架构件81的下表面与真空容器10内的旋转台2相对,在该框架构件81的下表面的外周绕一周设有向下方(向旋转台2)突出的突起部81b。突起部81b的下表面靠近旋转台2的表面,利用突起部81b、旋转台2的表面、框架构件81的下表面在旋转台2的上方形成空间(以下,内部空间S)。其中,突起部81b的下表面与旋转台2的表面之间的间隔可以与分离空间H(图4)内的顶面11相对于旋转台2的上表面的高度h1大致相同。
另外,贯通突起部81b的气体导入喷嘴92延伸在该内部空间S内。在本实施方式中,如图6所示,气体导入喷嘴92与填充有氩(Ar)气体的氩气供给源93a、填充有氧(O2)气体的氧气供给源93b、填充有氨(NH3)气体的氨气供给源93c连接。从氩气供给源93a、氧气供给源93b及氨气供给源93c供给来的经对应的流量控制器94a、94b及94c流量控制了的Ar气体、O2气体及NH3气体以规定的流量比(混合比)供给向内部空间S。
另外,在气体导入喷嘴92上沿其长度方向以规定的间隔(例如10mm)形成有多个喷射孔92a,从喷射孔92a喷射上述Ar气体等。如图7所示,喷射孔92a自与旋转台2垂直的方向朝向旋转台2的旋转方向的上游侧倾斜。因此,自气体导入喷嘴92供给来的气体朝向与旋转台2的旋转方向相反的方向、具体而言朝向突起部81b的下表面与旋转台2的表面之间的间隙喷射。由此,能够抑制反应气体、分离气体沿旋转台2的旋转方向从位于比等离子体产生源80靠上游侧的顶面45的下方的空间向内部空间S内流入。另外,如上述那样,沿着框架构件81的下表面的外周形成的突起部81b靠近旋转台2的表面,因此能够利用自气体导入喷嘴92喷出的气体容易地将内部空间S内的压力维持得较高。由此还能够抑制反应气体、分离气体向内部空间S内流入。
法拉第屏蔽板82由金属等导电性材料制作而成,虽然省略了图示,但该法拉第屏蔽板82接地。如图8明确所示那样,在法拉第屏蔽板82的底部形成有多个狭缝82s。各狭缝82s以与其相对应的具有大致八边形的平面形状的天线85的边大致正交的方式延伸。
另外,如图7及图8所示,法拉第屏蔽板82在其上端的两个部位具有向外侧折弯的支承部82a。通过使支承部82a支承于框架构件81的上表面,而将法拉第屏蔽板82支承在框架构件81内的规定位置。
绝缘板83例如由石英玻璃制作而成,其大小稍小于法拉第屏蔽板82的底面的大小,该绝缘板83载置于法拉第屏蔽板82的底面。绝缘板83使法拉第屏蔽板82和天线85绝缘,且使自天线85放射的高频向下方透过。
天线85通过以平面形状成为大致八边形的方式将铜制的中空管(管)卷绕例如3层而形成。能够使冷却水在管内循环,由此,能够防止天线85因被供给到天线85的高频电力而被加热至高温。另外,在天线85上设有立起部85a,在立起部85a上安装有支承部85b。利用支承部85b能够将天线85维持在法拉第屏蔽板82内的规定位置。另外,支承部85b经由匹配箱86与高频电源87连接。高频电源87能够产生具有例如13.56MHz的频率的高频电力。
根据具有这样的结构的等离子体产生源80,在经由匹配箱86从高频电源87向天线85供给高频电力时,由天线85产生电磁场。该电磁场中的电场成分被法拉第屏蔽板82屏蔽,而不能向下方传播。另一方面,磁场成分经由法拉第屏蔽板82的多个狭缝82s向内部空间S内传播。在该磁场成分的作用下,自气体导入喷嘴92以规定的流量比(混合比)供给向内部空间S的Ar气体、O2气体及NH3气体等气体生成等离子体。利用通过这样生成的等离子体,能够降低对堆积在晶圆W上的薄膜的辐射损伤、对真空容器10内的各构件的损伤等。
另外,如图1所示,本实施方式的成膜装置1还包括用于对装置整体的动作进行控制的由计算机构成的控制部100及存储部101。存储部101存储有在控制部100的控制下使后述的成膜方法在成膜装置1中实施的程序。该程序以能执行后述的成膜方法的方式编有步骤群。存储部101例如能够由硬盘等构成。要存储于存储部101的程序能够利用规定的读取装置从例如光盘、光磁盘、存储卡、软盘等存储介质102向存储部101读入。
(成膜方法)
接下来,以利用上述成膜装置1执行本发明的实施方式的成膜方法的情况为例进行说明。
在本实施方式中,晶圆W使用硅晶圆,在该硅晶圆上如图9的(a)所示那样形成有沟槽T(凹部)。
另外,在成膜装置1中,自反应气体喷嘴31供给有机氨基硅烷气体,自反应气体喷嘴32供给O3气体作为氧化气体(含氧气体),自气体导入喷嘴92供给Ar气体及O2气体的混合气体(含氧气体,以下,记作Ar/O2气体)。
首先,打开未图示的闸阀,利用输送臂10(图3)从外部将晶圆W经由输送口15(图2及图3)交接到旋转台2的凹部24内。该交接通过如下这样进行,即:在凹部24在面朝输送口15的位置停止后,使未图示的升降销从真空容器10的底部侧经由凹部24的底面的贯通孔升降。像这样的晶圆W的交接通过使旋转台2间歇性地旋转来进行,在旋转台2的5个凹部24内均载置晶圆W。
接着,关闭闸阀,利用真空泵640将真空容器10内排气至极限真空度,之后,从分离气体喷嘴41、42以规定的流量喷射作为分离气体的N2气体,并从分离气体供给管51及吹扫气体供给管72、72也以规定的流量喷射N2气体。伴随如此,利用压力控制部件650(图1)将真空容器10内控制在预先设定的处理压力。接着,一边使旋转台2沿顺时针方向以例如20rpm的转速旋转一边利用加热单元7将晶圆W加热到例如450℃。
之后,从反应气体喷嘴31(图2及图3)供给有机氨基硅烷气体,从反应气体喷嘴32供给O3气体。并且,从气体导入喷嘴92供给Ar/O2气体,以例如1400W的电力对等离子体产生源80的天线85供给具有13.56MHz的频率的高频电力。由此,在等离子体产生源80(图6)与旋转台2之间的内部空间S内生成氧等离子体。在该氧等离子体中,生成有氧离子、氧自由基等活性种、高能粒子(日文:高エネルギ粒子)。
通过旋转台2的旋转,晶圆W依次通过第1处理区域P1、分离区域D、第2处理区域P2、内部空间S(的下方的区域)及分离区域D并反复进行该动作(参照图3)。
在第1处理区域P1内,如图9的(b)所示,在晶圆W的表面U、沟槽T的内表面吸附有有机氨基硅烷气体的分子Ms,而形成有机氨基硅烷的分子层61。在通过分离区域D后,在第2处理区域P2内,如图9的(c)所示,吸附在晶圆W的表面U、沟槽T的内表面的有机氨基硅烷气体被O3气体分子Mo氧化,如图9的(d)所示,沿着沟槽T的内表面形成氧化硅膜62。在有机氨基硅烷气体被氧化时,作为副生成物生成了OH基(羟基)Hy。生成的OH基Hy吸附在氧化硅膜62的表面。
接着,在晶圆W到达等离子体产生源80的内部空间S时,晶圆W如图9的(e)所示那样暴露于氧等离子体Pl。此时,吸附于氧化硅膜62的OH基Hy的一部分由于与氧等离子体Pl中的例如高能粒子的碰撞而自氧化硅膜62脱离。氧等离子体Pl能够到达晶圆W的表面U、沟槽T的开口附近,但难以到达沟槽T的底部附近。因此,在晶圆W的表面U和沟槽T的开口附近的侧面,比较多的OH基Hy发生了脱离。结果,如图9的(e)所示,OH基Hy以如下方式分布,即:在沟槽T的底部及底部附近的侧面OH基Hy的密度较高,随着向沟槽T的开口及晶圆W的表面U去OH基Hy的密度降低。
接着,通过旋转台2的旋转而晶圆W再次到达第1处理区域P1时,从反应气体喷嘴31供给来的有机氨基硅烷气体的分子Ms吸附在晶圆W的表面U、沟槽T的内表面。此时,有机氨基硅烷气体的分子Ms容易被OH基Hy吸附(专利文献2),因此如图9的(f)所示,有机氨基硅烷气体的分子Ms以按照OH基Hy的分布的分布吸附于晶圆W的表面U、沟槽T的内表面。即,有机氨基硅烷气体的分子Ms以如下方式吸附于沟槽T的内表面,即:在沟槽T的底部及底部附近的侧面密度较高,随着向沟槽T的开口去密度降低。
接着,在晶圆W通过第2处理区域P2时,吸附在晶圆W的表面U、沟槽T的内表面的有机氨基硅烷气体被O3气体氧化,而如图10的(a)所示那样进一步形成氧化硅膜62。在此,氧化硅膜62的膜厚分布与吸附在沟槽T的内表面的有机氨基硅烷气体的密度相对应。即,氧化硅膜62在沟槽T的底部及底部附近的侧面较厚,随着向沟槽T的开口去而变薄。另外,由于有机氨基硅烷气体的氧化而生成的OH基吸附在氧化硅膜62的表面。
接着,在晶圆W再次到达等离子体产生源80的内部空间S时,如上述那样,OH基以如下方式分布,即:在沟槽T的底部及底部附近的侧面OH基的密度较高,随着向沟槽T的开口去OH基的密度降低。
之后,若反复进行上述工艺,则如图10的(b)所示那样氧化硅膜62从沟槽T的底部开始逐渐变厚。若氧化硅膜62进一步变厚,则如图10的(c)所示那样沟槽T被氧化硅填埋,并没有形成空隙,如图10的(d)所示,沟槽T的填埋结束。
如以上那样,根据本实施方式的成膜方法,由于有机氨基硅烷气体的氧化而生成且吸附于氧化硅膜62的OH基在利用等离子体产生源80生成的氧等离子体的作用下以如下方式分布,即:在沟槽T的底部及底部附近的侧面密度较高,随着向沟槽T的开口去密度降低。OH基作为有机氨基硅烷气体的吸附点发挥作用,有机氨基硅烷气体根据OH基的分布进行吸附,因此有机氨基硅烷气体也以如下方式分布,即:在沟槽T的底部及底部附近的侧面密度较高,随着向沟槽T的开口去密度降低。因而,氧化硅膜62形成为:在沟槽T的底部及底部附近的侧面较厚,随着向沟槽T的开口去而变薄。
在通过一般的CVD法、ALD法利用氧化硅等填埋沟槽的情况下,前体在扩散至沟槽的底部之前在气相中发生反应、或吸附于沟槽侧面,因此在沟槽的开口附近的膜厚较厚。因此,开口被密封,而在沟槽内形成空洞(空隙)的情况经常发生。通过与该情况进行比较,能够理解本实施方式的成膜方法的优点。
(变形例1)
在上述成膜方法中,也可以在供给Ar/O2气体的基础上,还从导入气体喷嘴92供给含氢气体,并生成氧等离子体。
在晶圆W通过第1处理区域P1、分离区域D及第2处理区域P2之后,如图11的(a)所述,在晶圆W的表面U、沟槽T的内表面上形成氧化硅膜62,在该氧化硅膜62的表面上吸附有OH基Hy。
接着,晶圆W到达内部空间S,晶圆W暴露于氧等离子体P1。此时,如图11的(b)所示,在氧等离子体Pl中含有由含氢气体生成的OH基Hy。因此,即使吸附于氧化硅膜62吸附的OH基Hy在氧等离子体Pl的作用下脱离,由氧等离子体Pl生成的OH基Hy能再次吸附。因而,与参照图9的(e)进行了说明的仅Ar/O2气体的情况相比,能够抑制晶圆W的表面U、沟槽T的开口附近的OH基Hy的减少,因此,能够使OH基Hy的分布在沟槽T的内表面均匀化。
因此,在晶圆W再次到达第1处理区域P时,如图11的(c)所示,由反应气体喷嘴3 1喷出的有机氨基硅烷气体的分子Ms也均匀地吸附于沟槽T的内表面。因而,如图11的(d)所示,吸附的有机氨基硅烷气体被O3气体氧化而形成的氧化硅膜62也能够在沟槽T的内表面均匀地成膜。
在此,若控制含氢气体的供给量并且/或者控制高频电力,则能够对OH基Hy在沟槽T的内表面(特别是开口附近)上的分布进行控制,因此,能够自如地控制在沟槽T的内表面上形成的氧化硅膜的膜厚分布。
(变形例2)
此外,控制旋转台2的转速也能够对沟槽内的氧化硅膜的膜厚分布进行控制。
图12的(a)及图12的(b)表示旋转台2的转速比较小的例子,图12的(c)及图12的(d)表示旋转台2的转速比较大的例子。
如图12的(a)所示,在转速较慢的情况下,晶圆W处于等离子体产生源80的下方的内部空间S的时间较长。即,晶圆W暴露于氧等离子体的时间较长。因此,氧等离子体Pl能够深入至沟槽T的底面侧。因而,例如在氧等离子体Pl由Ar/O2气体生成的情况下(在氧等离子体Pl中未生成OH基的情况下),在沟槽T的底面侧,OH基Hy的密度也容易减少。换言之,OH基Hy密度减少的区域容易扩散至底面侧。结果,如图12的(b)所示,在沟槽T的内表面上形成的氧化硅膜62在沟槽T的底面侧也变薄的区域变深。但是,此时,向晶圆W供给有机氨基硅烷气体的时间也变长。因此,在第1处理区域P1,被供给来的有机氨基硅烷气体也容易向沟槽T的底面侧扩散。
相对于此,在加大转速时,晶圆W以短时间通过等离子体产生源80的下方的内部空间S。即,晶圆W暴露于氧等离子体的时间变短。因此,氧等离子体Pl难于向沟槽T的底面侧扩散。因此,如图12的(c)所示,在距离沟槽T的开口较近部分,O H基Hy脱离,OH基Hy在该部分的密度降低。结果,如图12的(d)所示,在距离沟槽T的开口较近的部分,氧化硅膜62较薄く,在比该部分靠下方的底面侧的部分,膜厚较厚。
若继续加大转速,则向晶圆W供给有机氨基硅烷气体的时间变短。因此,在第1处理区域P1,被供给来的有机氨基硅烷气体也难以向沟槽T的底面侧扩散,由此,吸附于OH基Hy的有机氨基硅烷气体的量减少。因而,在底面侧的氧化硅膜变薄。即,根据旋转台2的转速的不同,能够带来相反的效果。
但是,通过使其中的一个效果得到显著发挥,能够对在沟槽T的底面侧的氧化硅膜62的厚度进行控制。例如,在有机氨基硅烷气体的供给量足够大的情况下,能够确保向沟槽T的底面侧扩散的有机氨基硅烷气体,因此,氧化硅膜的膜厚分布由OH基的分布来左右,能够使在沟槽的底面侧的氧化硅膜较厚。
(变形例3)
另外,由上述说明可知,通过控制自反应气体喷嘴31喷出的有机氨基硅烷气体的供给量也能够对氧化硅膜的膜厚分布进行控制。
图13的(a)及图13的(b)表示有机氨基硅烷气体的供给量较多的例子,图13的(c)及图13的(d)表示有机氨基硅烷气体的供给量较少的例子。
例如,如变形例1那样,使OH基Hy均匀地分布在沟槽T的内表面的情况下,在有机氨基硅烷气体的供给量充足时,如图13的(a)所示,有机氨基硅烷气体能扩散至沟槽T的底面侧,能吸附于大致所有的OH基Hy。结果,如图13的(b)所示,沟槽内的氧化硅膜的膜厚分布大致与吸附OH基的分布相对应,沟槽内的氧化硅膜的膜厚分布大致均匀。
另一方面,在使OH基Hy均匀地分布于沟槽T的内表面的情况下,在有机氨基硅烷气体的供给量不足时,如图13的(c)所示,能扩散至沟槽T的底面侧的有机氨基硅烷气体不足。因此,如图13的(d)所示,能够使沟槽T的开口部附近的氧化硅膜比底面侧的氧化硅膜厚。
采用本实施方式的成膜方法,能够实现控制成在沟槽T的深度方向较浅的部分膜厚较薄,在较深的部分膜厚较厚,能够实现控制成在沟槽T的深度方向较浅的部分膜厚较厚,在较深的部分膜厚较薄。
(变形例4)
另外,作为上述成膜方法的变形例4,也可以考虑将参照图9进行了说明的成膜方法和变形例3组合。即,首先,利用仅由Ar/O2气体生成的氧等离子体来对吸附于沟槽T的内表面的OH基Hy的分布进行控制,从而以在沟槽T的底面侧较厚、在开口侧较薄的方式形成氧化硅膜62。由此,在氧化硅膜62形成至规定的膜厚时,能得到如图14的(a)所示的膜厚分布。
接着,例如除了使用Ar/O2气体之外还使用含氢气体生成氧等离子体,且使OH基Hy均匀地吸附于沟槽T的内表面,并且使有机氨基硅烷气体的供给量降低,从而以在沟槽T的底面侧较薄、在开口侧较厚的方式形成氧化硅膜62。只进行这样的成膜,能得到图14的(b)所示的膜厚分布。
因而,在变形例4中,能够得到将图14的(a)和图14的(b)所示的膜厚分布组合起来的、图14(c)所示的在沟槽T的内表面以大致均匀的膜厚形成的氧化硅膜62。
如以上说明的那样,采用本发明的实施方式(包括变形例1~4)的成膜方法,能够通过对在形成于晶圆W的沟槽的内表面上吸附的OH基的分布进行控制,而控制吸附于沟槽的内表面的有机氨基硅烷气体的分布,进而能够控制形成于沟槽的内表面的氧化硅膜的膜厚分布。
OH基的分布能够通过等离子体产生源30向天线85供给的高频电力、从气体导入喷嘴92向内部空间S供给的含氢气体的供给量、旋转台2的转速等来进行控制。并且,在控制OH基的分布的基础上,控制有机氨基硅烷气体的供给量,从而能够进一步控制氧化硅膜的膜厚分布。
另外,采用本发明的实施方式(包括变形例1~4),形成的氧化硅膜暴露于氧等离子体,因此,由有机氨基硅烷气体的分解而生成的、混入氧化硅膜中的水分、有机杂质能被氧等离子体除去,能够使氧化硅膜成为高密度。并且,在氧等离子体中的高能粒子的冲撞下,氧化硅膜中的原子能重新排列,因此,能提高膜的质量。
如上所述,采用本实施方式的成膜方法,能够通过以下机构(日文:メカニズム)来对形成于沟槽T的内表面的氧化硅膜的膜厚进行控制
在向形成有沟槽T的晶圆W上,供给有机氨基硅烷气体(第1反应气体)和O3气体(第2反应气体)时,生成氧化硅膜(由第1反应气体和同该第1反应气体发生反应的第2反应气体这两者的反应生成物构成的膜),并且在该膜的表面上生成OH基(羟基)。
在此,在下一循环中,若在供给有机氨基硅烷气体之前,供给氧等离子体,则在氧等离子体的作用下OH基中的一部分OH基脱离。此时,氧等离子体难以到达沟槽T的底部附近,因此,能够控制为:在沟槽T的底部,OH基的量并未减少,在沟槽T的在深度方向上较浅的部分的OH基的量比在较深的部分的OH基的量少。
另一方面,若在供给氧等离子体的同时还供给含氢气体,则能生成由含氢气体生成的OH基,因此,能够抑制在沟槽T的开口附近的OH基的减少,使OH基的分布在沟槽的内表面上均匀。
另外,若加快晶圆W的转速,则氧等离子体难以向沟槽T的底面侧扩散,能够控制为在沟槽T的在深度方向上较浅的部分的OH基的量比在较深的部分的OH基的量少。
另一方面,若减慢晶圆W的转速,则氧等离子体深入沟槽T的底面侧,沟槽的内表面上的OH基也减少。
如上所述,通过进行氧等离子体的供给控制(包括对高频电力的控制)、含氢气体的供给控制(也包括含氢气体的供给量控制)、或者晶圆W的转速的控制(也包括对晶圆W暴露于氧等离子体的时间的控制),能够控制OH基在沟槽T的深度方向上的吸附分布。在此,有机氨基硅烷气体容易吸附于OH基。因此,根据OH基在沟槽T的深度方向上的吸附分布,也能够控制由有机氨基硅烷气体生成的氧化硅膜的膜厚。
此外,通过进行有机氨基硅烷气体的供给量控制、晶圆W的转速的控制(包括向晶圆W供给有机氨基硅烷气体的时间的控制),能够控制由有机氨基硅烷气体生成的氧化硅膜的膜厚。
接着,说明实施例。
(实施例1)
在本实施例中,调查了等离子体产生用的高频电力、作为含氢气体的氨气(NH3)的供给量、有机氨基硅烷气体的供给量、以及旋转台2的转速对在被形成于硅晶圆(直径300mm)的孔的孔内形成的氧化硅膜的膜厚分布造成的影响。
如图15所示,本实施例的孔h为具有大约0.22μm的内径和大约8.8μm的深度的圆柱形状,深宽比(深度/内径)为40。另外,在图15中显示了氧化硅膜的膜厚的测量位置,在本实施例中,该测量位置是利用扫描型电子显微镜(SEM)进行了测量的位置。即,在硅晶圆表面的靠近孔h的孔h近旁(TOP)、孔h的位于开口附近的侧面上(TOP旁边)、孔h的深度方向中间附近(CTR)、以及孔h的接近底面的侧面上(BTM)这4个部位测量了氧化硅膜的膜厚。在以下的说明中,TOP旁边、CTR以及BTM处的膜厚以TOP处的膜厚标准化(日文:規格化)(与TOP处的膜厚相等时为100%)。并且,不仅测量了孔h内的膜厚,还测量了硅晶圆的中央的膜厚,求出了成膜速度。将目标膜厚调整为无法埋入孔h的程度的膜厚。另外,在本实施例中,使用了上述成膜装置1。
(1)高频电力依存关系(日文:依存性)
在图16的(a)中表示了形成在孔h内的氧化硅膜的膜厚分布的高频电力依存关系。高频电力以外的主要成膜条件如下所示。
·自反应气体喷嘴31供给的有机氨基硅烷气体供给量:900sccm
·自反应气体喷嘴32供给的臭氧气体供给量:1.8g/mim
·旋转台2的转速:20rpm
·自气体导入喷嘴92供给的氨气供给量:0sccm及30sccm
·晶圆温度:400℃
其中,上述臭氧气体是这样得到的:以6标准升/min(slm)(日文:6標準リツトル/min(slm))的供给量向连接于反应气体喷嘴32的规定的臭氧产生器供给氧气,利用臭氧产生器以300g/Nm3的浓度产生臭氧。
参照图16的(a)可知,在以不自气体导入喷嘴92供给NH3气体的方式进行成膜的情况下,在没有对等离子体产生源80施加高频电力时,在TOP旁边处(开口附近的侧面上)的膜厚比TOP处的膜厚厚,在CTR处(孔h的深度方向中间附近)及BTM处(底面附近的侧面上)的膜厚比TOP处的膜厚薄。因而,若以该条件继续成膜,则有可能孔h的开口被氧化硅密封,在孔h内形成空隙。
另一方面,可知:在以不自气体导入喷嘴92供给NH3气体的方式进行成膜的情况下,在向等离子体产生源80施加1400W的高频电力时,TOP旁边、CTR及BTM任一位置的膜厚都变得比TOP处的膜厚厚很多。并且,氧化硅膜的成膜速度(参照图中的×标识,右纵轴)也比没有施加高频电力时的成膜速度下降很多。这样的结果是因为:在由等离子体产生源80生成的氧等离子体的作用下,吸附于所形成的氧化硅膜的OH基被除去,有机氨基硅烷气体变得难以吸附。并且,值得注意的是:与TOP旁边相比,在CTR及BTM处的膜厚变得较厚。这是因为:在TOP、TOP旁边处,相对较多的OH基脱离。
并且,可知:在自气体导入喷嘴92供给30sccm的NH3气体进行成膜的情况下,在高频电力变大时成膜速度降低。即,随着氧等离子体的强度变大,吸附于所形成的氧化硅膜的OH基被除去,有机氨基硅烷气体变得难以吸附,由此,成膜速度降低。并且,在高频电力为1400W的情况下,能够得到有意使CTR及BTM处的膜厚比TOP旁边处的膜厚厚的结果。
(NH3气体供给量依存关系)
图16的(b)表示形成于孔h内的氧化硅膜的膜厚分布的NH3气体供给量依存关系。NH3气体供给量以外的主要成膜条件如下所示。
·自反应气体喷嘴31供给的有机氨基硅烷气体供给量:900sccm
·自反应气体喷嘴32供给的臭氧气体供给量:1.8g/mim
·旋转台2的转速:20rpm
·高频电力:1400W
·晶圆温度:400℃。
由图16的(b)可知:在NH3气体供给量增加时,TOP旁边、CTR及BTM处的膜厚相对于TOP处的膜厚相对变薄(换言之,孔h内的膜厚分布变均匀)。这是因为:随着NH3气体供给量的增加,由等离子体产生源80生成的氧等离子体中的OH基的浓度增加,能够抑制特别是在TOP处的吸附于氧化硅膜的OH基的脱离。
(有机氨基硅烷气体供给量依存关系)
图16的(c)表示形成于孔h内的氧化硅膜的膜厚分布的有机氨基硅烷气体供给量依存关系。有机氨基硅烷气体供给量以外的主要成膜条件如下所示。
·自反应气体喷嘴32供给的臭氧气体供给量:1.8g/mim
·旋转台2的转速:20rpm
·高频电力:1400W
·NH3气体供给量:30sccm
·晶圆温度:400℃。
由图16的(c)可知:在有机氨基硅烷气体的供给量增加时,成膜速度增加。并且,随着有机氨基硅烷气体的供给量的增加,与TOP旁边处的膜厚相比,CTR、BTM处的膜厚变厚。这是因为有机氨基硅烷气体能充分到达孔h的底面侧。
(旋转台2的转速依存关系)
图16的(d)表示形成于孔h内的氧化硅膜的膜厚分布与旋转台2的转速的依存关系。转速以外的主要成膜条件如下所示。
·自反应气体喷嘴31供给的有机氨基硅烷气体供给量:900sccm
·自反应气体喷嘴32供给的臭氧气体供给量:1.8g/mim
·高频电力:1400W
·NH3气体供给量:30sccm
·晶圆温度:400℃。
由图16的(d)可知:在旋转台2的转速变快时,成膜速度降低。这是因为:硅晶圆暴露于有机氨基硅烷气体的时间变短,有机氨基硅烷气体的吸附量减少。并且,吸附量的减少在孔h的底面侧比较明显,随着转速的增大,CTR及BTM处的膜厚相对于TOP旁边处的膜厚相对变厚。即,随着转速的增大,形成于孔h内的氧化硅膜的膜厚从在开口侧较薄而在底面侧较厚的分布状况变化为膜厚在内表面整体上均匀化的分布状况。
如上所述,可知:能够利用向等离子体产生源80的天线8 5供给的高频电力、自气体导入喷嘴92向内部空间S供给的含氢气体的供给量、旋转台2的转速、以及有机氨基硅烷气体的供给量,对形成于孔内的氧化硅膜的膜厚分布自如地进行控制。并且,如图17所示,进一步对高频电力、含氢气体供给量、转速及有机氨基硅烷气体供给量进行调整的结果,能够使孔内的氧化硅膜的膜厚大致相等。
(实施例2)
本实施例是根据上述变形例4进行的。即,进行了在孔的开口侧的膜厚变厚的第1阶段的成膜和在孔的底面侧的膜厚变厚的第2阶段的成膜。第1阶段的成膜的成膜条件和第2阶段的成膜的成膜条件如下所示。另外,在本实施例中,从气体导入喷嘴92供给来的含氢气体使用氢(H2)气体。
<第1阶段的成膜>
·自反应气体喷嘴31供给的有机氨基硅烷气体供给量:900sccm
·自反应气体喷嘴32供给的臭氧气体供给量:1.8g/mim
·自气体导入喷嘴92供给的H2气体:45sccm
·旋转台2的转速:20rpm
·高频电力:1400W
·晶圆温度:400℃
·成膜时间:16分钟(与在TOP处的目标膜厚32nm相对应)
<第2阶段的成膜>
·自反应气体喷嘴31供给的有机氨基硅烷气体供给量:75sccm
·自反应气体喷嘴32供给的臭氧气体供给量:1.8g/mim
·自气体导入喷嘴92供给的H2气体:45sccm
·旋转台2的转速:240rpm
·高频电力:1400W
·晶圆温度:400℃
·成膜时间:3.7分钟(与在TOP处的目标膜厚18nm相对应)。
图1 8是表示本实施例的结果的SEM像的图。各图下方的数值表示各测量位置的以TOP处的膜厚标准化了的膜厚。其中,图1 8的(a)表示仅进行了第1阶段的成膜的结果,图1 8的(b)表示没有进行第1阶段的成膜而是仅进行了第2阶段的成膜的结果。
在第1阶段的成膜中,如图1 8的(a)所示,在TOP旁边、CTR及BTM处的膜厚均大于在TOP处的膜厚,并且,膜厚以TOP旁边、CTR及BTM的顺序依次变厚(在孔的底面侧的膜厚较厚)。
相对于此,在第2阶段的成膜中,与第1阶段的成膜的情况相比,有机氨基硅烷气体的供给量降低,旋转台2的转速增大,在此影响下,如图18的(b)所示,膜厚以TOP旁边、CTR及BTM的顺序依次变薄(在孔的底面侧的膜厚较薄)。
图18的(c)表示相继进行了第1阶段的成膜和第2阶段的成膜时的结果。如图示那样,在TOP旁边、CTR及BTM处的标准化膜厚在98%~102%的范围内。这表示在TOP处(晶圆的表面的孔附近)的膜厚和在孔的内表面的各位置(TOP旁边、CTR及BTM处)的膜厚为大致50nm。即,采用上述变形例4的成膜方法,不仅能够使孔内的膜厚均匀化,而且还能够使晶圆表面的膜厚均匀化。
以上,参照几个实施方式及实施例对本发明进行了说明,但本发明并不限定于上述实施方式及实施例,能够参照添附的权利要求书进行各种变形或变更。
例如,在上述实施方式中,等离子体产生源80为具有天线85的所谓的电感耦合等离子体(ICP)源,但也可以为通过向彼此平行地延伸的两根棒电极之间施加高频电力来生成等离子体的电容耦合等离子体(CCP)源。即使是CCP源,也能够生成氧等离子体,因此能够发挥上述效果。
另外,从反应气体喷嘴31供给来的含Si气体只要能够吸附于OH基即可,并不限定于上述有机氨基硅烷气体,也可以为有机硅化合物气体。另外,从反应气体喷嘴32供给来的氧化气体并不限定于O3气体,也能够利用例如O2(氧)气体、或O2和O3的混合气体。
另外,只要能够吸附于OH基即可,也可以从反应气体喷嘴31供给例如有机金属气体。通过从反应气体喷嘴31供给有机金属气体、例如含有锆(Zr)的有机金属气体,从反应气体喷嘴32供给O3气体等,能够形成氧化锆(ZrO)膜。在该情况下,也能够控制在沟槽等的内表面形成的ZrO的膜厚。另外,作为含Zr有机金属气体,能够优选使用例如四(乙基甲基氨基)锆(TEMAZ)。
另外,作为有机金属气体,还能够使用含有铝(Al)的有机金属气体。通过从反应气体喷嘴31供给含Al有机金属气体,从反应气体喷嘴32供给O3气体等,能够形成氧化铝(AlO)膜。作为含Al有机金属气体,能够优选使用例如三甲基铝(TMA)、二甲基乙基氨基硅烷(日文:ジメチルエチルアミンアラン)。另外,通过从反应气体喷嘴31供给协同作用的含Zr有机金属气体和含Al有机金属气体,还能够形成ZrAlO膜。
另外,作为经由气体导入喷嘴92向等离子体产生源80的内部空间S供给的含氢气体,也可以使用NH3气体和H2气体这两者。此外,只要是能够生成OH基的气体即可,并不限定于NH3气体、H2气体,还可以使用例如H2O(水)、H2N-NH2(肼)、H2O2(过氧化氢)、碳氢化合物气体等。
另外,作为氧等离子体的效果,列举了所形成的氧化硅膜的高密度化、高品质化,但也可以分开设置为了实现高密度化、高品质化而生成氧等离子体的等离子体产生源、为了实现OH基的分布控制而生成等离子体的等离子体产生源。在该情况下,对于用于OH基的分布控制的等离子体产生源,只要能够使所吸附的OH基脱离并且能够在等离子体中生成OH基即可,没有必要生成氧等离子体。
不仅能够应用于在沟槽的内表面成膜的情况,还能够应用于在线·空间中的空间、导通孔、沟槽等的内表面成膜的情况(或者,填埋它们的情况)。
本发明的实施方式提供一种在向形成于基板的凹部成膜时、能够控制膜厚的分布的成膜方法。
并且,本发明的实施方式包括以下的技术方案。
本发明的一技术方案提供一种成膜方法,该成膜方法用于使第1反应气体和能同该第1反应气体发生反应的第2反应气体这两者的反应生成物形成膜,该成膜方法包括:使羟基以所期望的分布吸附于被形成在基板表面的凹部的内表面的步骤、对在上述凹部的内表面吸附有上述羟基的上述基板供给上述第1反应气体的步骤、通过使上述第2反应气体与吸附于上述凹部的内表面的上述第1反应气体发生反应而在上述内表面上生成上述反应生成物的步骤。
上述羟基的所期望的分布为与要在凹部内形成的膜的所期望的膜厚分布相对应的分布,能够对上述羟基的所期望的分布进行控制,以实现上述膜厚分布。例如,在半导体集成电路的制造工艺中,在通过以在凹部的底面侧较厚而在开口侧较薄的方式进行成膜而不会产生空隙地填埋凹部的情况下,以能够实现这样的成膜的分布吸附羟基。并且,在要实现在凹部的内表面的大致整体形成相等的膜厚的情况下,以能够实现这样的膜厚分布的分布吸附羟基。
并且,通过选择性地除去由第1反应气体和第2反应气体的反应而生成的、吸附于凹部的内表面的羟基,也能够实现使羟基以所期望的分布吸附于凹部的内表面。
并且,本发明的另一技术方案提供一种成膜方法,该成膜方法用于使第1反应气体和能同该第1反应气体发生反应的第2反应气体这两者的反应生成物形成膜;该成膜方法包括:第1工序和第2工序;该第工序具有:使羟基以上述反应生成物能成膜为在上述凹部的底面侧较厚的分布吸附于被形成在基板表面的凹部的内表面的步骤、对吸附有上述羟基的上述凹部的内表面供给上述第1反应气体的步骤、通过使上述第2反应气体与吸附于上述凹部的内表面的上述第1反应气体发生反应而生成上述反应生成物的步骤;该第2工序具有:使羟基以上述反应生成物能成膜为在上述凹部的开口侧较厚的分布吸附的步骤、对吸附有上述羟基的上述凹部的内表面供给上述第1反应气体的步骤、通过使上述第2反应气体与吸附于上述凹部的内表面的上述第1反应气体发生反应而生成上述反应生成物的步骤。
其中,上述第1工序的“第1”和第2工序的“第2”是用于区分两工序,不是用于表示该工序的顺序。即,也可以在执行第2工序之后执行第1工序。
本申请基于2011年12月27日提交的日本申请号2011-285850的优先权,本说明书包含该日本申请的全部内容来作为参考。

Claims (18)

1.一种成膜方法,其特征在于,
该成膜方法用于在形成有凹部的基板上形成膜,该膜由容易吸附于羟基的第1反应气体和能同该第1反应气体发生反应的第2反应气体这两者的反应生成物构成,
该成膜方法包括:
控制步骤,对羟基在上述基板的上述凹部的深度方向上的吸附分布进行控制;
第1反应气体供给步骤,向吸附有上述羟基的上述基板上供给上述第1反应气体;
第2反应气体供给步骤,向吸附有上述第1反应气体的上述基板上供给上述第2反应气体。
2.如权利要求1所述的成膜方法,其特征在于,
上述控制步骤包括将基板暴露于氧等离子体的步骤,在该将基板暴露于氧等离子体的步骤中,将吸附有上述羟基的上述基板暴露于氧等离子体。
3.如权利要求2所述的成膜方法,其特征在于,
在上述将基板暴露于氧等离子体的步骤中,上述氧等离子体由含有含氢气体的气体生成。
4.如权利要求3所述的成膜方法,其特征在于,
在上述将基板暴露于氧等离子体的步骤中,通过控制上述含氢气体的供给量,来对上述羟基在上述基板的上述凹部的深度方向上的吸附分布进行控制。
5.如权利要求2所述的成膜方法,其特征在于,
上述第2反应气体与上述第1反应气体发生反应,形成上述膜,并在该膜上生成羟基,
在上述将基板暴露于氧等离子体的步骤中,将吸附有由上述第1反应气体和上述第2反应气体发生反应而生成的上述羟基的上述基板暴露于氧等离子体。
6.如权利要求2所述的成膜方法,其特征在于,
在上述将基板暴露于氧等离子体的步骤中,供给含氧气体并供给规定的高频电力。
7.如权利要求6所述的成膜方法,其特征在于,
在上述将基板暴露于氧等离子体的步骤中,通过控制上述规定的高频电力,来对上述羟基在上述基板的上述凹部的深度方向上的吸附分布进行控制。
8.如权利要求6所述的成膜方法,其特征在于,
上述基板配置在旋转台上,
通过使该旋转台旋转,使该基板依次通过用于供给上述第1反应气体的第1气体供给区域、用于供给上述第2反应气体的第2气体供给区域、以及用于供给上述含氧气体并供给上述规定的高频电力的第3气体供给区域,
通过控制上述旋转台的转速,来对上述羟基在上述基板的上述凹部的深度方向上的吸附分布进行控制。
9.如权利要求2所述的成膜方法,其特征在于,
在上述将基板暴露于氧等离子体的步骤中,通过控制上述基板暴露于上述氧等离子体的时间,来对上述羟基在上述基板的上述凹部的深度方向上的吸附分布进行控制。
10.如权利要求1所述的成膜方法,其特征在于,
在上述第1反应气体供给步骤中,还包括对向上述基板供给上述第1反应气体的时间进行控制的步骤。
11.如权利要求1所述的成膜方法,其特征在于,
在上述第1反应气体供给步骤中,对上述第1反应气体的供给量进行控制。
12.如权利要求1所述的成膜方法,其特征在于,
该成膜方法包括第1工序,
在该第1工序中,利用上述控制步骤进行控制,以使在上述基板的上述凹部的在深度方向上较浅的部分上述羟基的量较少,在较深的部分上述羟基的量较多,在该情况下进行上述第1反应气体供给步骤和上述第2反应气体供给步骤。
13.如权利要求12所述的成膜方法,其特征在于,
该成膜方法包括第2工序,
在该第2工序中,利用上述控制步骤进行控制,以使在上述基板的上述凹部的在深度方向上较深的部分上述羟基的量也较少,并进行上述第1反应气体供给步骤和上述第2反应气体供给步骤。
14.如权利要求1所述的成膜方法,其特征在于,上述第1反应气体为有机氨基系的气体。
15.如权利要求1所述的成膜方法,其特征在于,上述第1反应气体为有机氨基硅烷。
16.如权利要求1所述的成膜方法,其特征在于,上述第2反应气体为含氧气体。
17.如权利要求1所述的成膜方法,其特征在于,上述第2反应气体为臭氧。
18.如权利要求3所述的成膜方法,其特征在于,上述含氢气体为胺和氢、或者胺和氢中的任意一者。
CN201210580129.5A 2011-12-27 2012-12-27 成膜方法 Active CN103184430B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011285850A JP5679581B2 (ja) 2011-12-27 2011-12-27 成膜方法
JP2011-285850 2011-12-27

Publications (2)

Publication Number Publication Date
CN103184430A true CN103184430A (zh) 2013-07-03
CN103184430B CN103184430B (zh) 2016-04-06

Family

ID=48654973

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210580129.5A Active CN103184430B (zh) 2011-12-27 2012-12-27 成膜方法

Country Status (5)

Country Link
US (2) US8835332B2 (zh)
JP (1) JP5679581B2 (zh)
KR (1) KR101595148B1 (zh)
CN (1) CN103184430B (zh)
TW (1) TWI551715B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104451598A (zh) * 2013-09-13 2015-03-25 东京毅力科创株式会社 硅氧化膜的制造方法
CN108352300A (zh) * 2015-11-13 2018-07-31 应用材料股份有限公司 利用选择性表面修改填充结构的技术
CN108892395A (zh) * 2018-09-11 2018-11-27 中国工程物理研究院激光聚变研究中心 一种熔石英元件修复方法及熔石英元件
CN111593319A (zh) * 2019-02-20 2020-08-28 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
CN112442680A (zh) * 2019-08-30 2021-03-05 东京毅力科创株式会社 成膜装置及成膜方法

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
WO2015093389A1 (ja) * 2013-12-18 2015-06-25 文彦 廣瀬 酸化物薄膜の形成方法および装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225842B2 (ja) * 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6587514B2 (ja) 2015-11-11 2019-10-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2017107963A (ja) * 2015-12-09 2017-06-15 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
JP6723135B2 (ja) * 2015-12-25 2020-07-15 東京エレクトロン株式会社 保護膜形成方法
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6800004B2 (ja) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 シリコン窒化膜の形成方法
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6573575B2 (ja) * 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
JP6608332B2 (ja) 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6661487B2 (ja) * 2016-07-13 2020-03-11 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP6767844B2 (ja) * 2016-11-11 2020-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6680190B2 (ja) * 2016-11-14 2020-04-15 東京エレクトロン株式会社 成膜装置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
JP6748779B2 (ja) * 2017-03-27 2020-09-02 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
JP6832808B2 (ja) 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6873007B2 (ja) 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6752976B2 (ja) * 2017-08-22 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10170300B1 (en) * 2017-11-30 2019-01-01 Tokyo Electron Limited Protective film forming method
JP6929209B2 (ja) 2017-12-04 2021-09-01 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP6968011B2 (ja) 2018-03-19 2021-11-17 東京エレクトロン株式会社 成膜方法及び成膜装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200130497A (ko) * 2018-04-09 2020-11-18 도쿄엘렉트론가부시키가이샤 저 커패시턴스 상호연결을 위한 에어 갭을 가진 반도체 디바이스를 형성하는 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
JP7175209B2 (ja) 2019-02-01 2022-11-18 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP7118025B2 (ja) 2019-03-29 2022-08-15 東京エレクトロン株式会社 成膜方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
JP7278146B2 (ja) 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
JP7158337B2 (ja) 2019-05-20 2022-10-21 東京エレクトロン株式会社 成膜方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7247813B2 (ja) * 2019-08-20 2023-03-29 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022109090A (ja) 2021-01-14 2022-07-27 東京エレクトロン株式会社 成膜方法及び成膜システム
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023180564A (ja) 2022-06-09 2023-12-21 東京エレクトロン株式会社 プラズマ処理方法、および基板処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20090053906A1 (en) * 2006-07-20 2009-02-26 Hironobu Miya Semiconductor Device Producing Method and Substrate Processing Apparatus
JP2009212303A (ja) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc 基板処理方法
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100520821B1 (ko) * 2003-04-02 2005-10-13 삼성전자주식회사 반도체 소자의 박막 형성방법
EP1616043B1 (en) * 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
JP4624207B2 (ja) 2005-08-03 2011-02-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2007273557A (ja) * 2006-03-30 2007-10-18 Mitsui Eng & Shipbuild Co Ltd 絶縁層の形成方法
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090053906A1 (en) * 2006-07-20 2009-02-26 Hironobu Miya Semiconductor Device Producing Method and Substrate Processing Apparatus
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP2009212303A (ja) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc 基板処理方法
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104451598A (zh) * 2013-09-13 2015-03-25 东京毅力科创株式会社 硅氧化膜的制造方法
CN108352300A (zh) * 2015-11-13 2018-07-31 应用材料股份有限公司 利用选择性表面修改填充结构的技术
CN108352300B (zh) * 2015-11-13 2022-03-29 应用材料股份有限公司 半导体装置处理方法、系统及设备
CN108892395A (zh) * 2018-09-11 2018-11-27 中国工程物理研究院激光聚变研究中心 一种熔石英元件修复方法及熔石英元件
CN111593319A (zh) * 2019-02-20 2020-08-28 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
CN111593319B (zh) * 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
CN112442680A (zh) * 2019-08-30 2021-03-05 东京毅力科创株式会社 成膜装置及成膜方法

Also Published As

Publication number Publication date
US20130164942A1 (en) 2013-06-27
US8835332B2 (en) 2014-09-16
TWI551715B (zh) 2016-10-01
JP5679581B2 (ja) 2015-03-04
US9023738B2 (en) 2015-05-05
KR101595148B1 (ko) 2016-02-17
JP2013135154A (ja) 2013-07-08
US20140349032A1 (en) 2014-11-27
CN103184430B (zh) 2016-04-06
KR20130075695A (ko) 2013-07-05
TW201341576A (zh) 2013-10-16

Similar Documents

Publication Publication Date Title
CN103184430B (zh) 成膜方法
CN102732854B (zh) 成膜装置和成膜方法
CN102383109B (zh) 成膜装置和成膜方法
KR101535682B1 (ko) 활성화 가스 인젝터, 성막 장치 및 성막 방법
CN1831192B (zh) 半导体处理用成膜方法和成膜装置
KR101407112B1 (ko) 반도체 처리용 성막 장치
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
JP6968011B2 (ja) 成膜方法及び成膜装置
CN109385626A (zh) 氮化硅膜的成膜方法和成膜装置
KR20100062942A (ko) 성막 장치
TWI721227B (zh) 成膜裝置及成膜方法
TWI725304B (zh) 成膜方法
TW201311925A (zh) 成膜方法及成膜裝置
CN103184429A (zh) 成膜方法
KR20150031186A (ko) 실리콘 산화막의 제조 방법
JP5549754B2 (ja) 成膜装置
TWI689614B (zh) 成膜方法
CN104451599A (zh) 氧化硅膜的制造方法
TW201804511A (zh) 成膜裝置
KR20200133666A (ko) 성막 방법
CN115206761A (zh) 等离子体产生装置、成膜装置以及成膜方法
JP2019102670A (ja) シリコン窒化膜の成膜方法及び成膜装置
US11952661B2 (en) Deposition method
CN102787304B (zh) 成膜方法和成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant