KR101595148B1 - 성막 방법 - Google Patents

성막 방법 Download PDF

Info

Publication number
KR101595148B1
KR101595148B1 KR1020120153211A KR20120153211A KR101595148B1 KR 101595148 B1 KR101595148 B1 KR 101595148B1 KR 1020120153211 A KR1020120153211 A KR 1020120153211A KR 20120153211 A KR20120153211 A KR 20120153211A KR 101595148 B1 KR101595148 B1 KR 101595148B1
Authority
KR
South Korea
Prior art keywords
gas
reaction gas
substrate
film
film forming
Prior art date
Application number
KR1020120153211A
Other languages
English (en)
Other versions
KR20130075695A (ko
Inventor
히토시 가토오
다츠야 다무라
다케시 구마가이
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130075695A publication Critical patent/KR20130075695A/ko
Application granted granted Critical
Publication of KR101595148B1 publication Critical patent/KR101595148B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

오목부가 형성된 기판 상에, 수산기에 흡착되기 쉬운 제1 반응 가스와, 당해 제1 반응 가스와 반응하는 제2 반응 가스의 반응 생성물에 의한 막을 성막하는 성막 방법이며, 상기 기판의 상기 오목부의 깊이 방향에 있어서의 수산기의 흡착 분포를 제어하는 스텝과, 상기 수산기가 흡착된 상기 기판 상에 상기 제1 반응 가스를 공급하는 스텝과, 상기 제1 반응 가스가 흡착된 상기 기판 상에 상기 제2 반응 가스를 공급하는 스텝을 포함하는 성막 방법.

Description

성막 방법{FILM FORMING METHOD}
본 출원은 2011년 12월 27일에 출원된 일본 특허 출원 제2011-285850호에 기초하며 그로부터 우선권을 주장하고, 그 전체 내용이 본 명세서에 참고로 포함되어 있다.
본 발명은, 서로 반응하는 적어도 2종류의 반응 가스를 기판에 대해 교대로 공급함으로써, 양 반응 가스의 반응 생성물을 기판에 성막하는 성막 방법에 관한 것이다.
집적 회로(IC)의 제조 프로세스에는, 예를 들어 트렌치나, 비아 홀, 라인 스페이스 패턴에 있어서의 스페이스 등의 오목부를 산화 실리콘으로 매립하는 공정이 있다. 예를 들어 트렌치 내에, 예를 들어 화학적 기상 퇴적(CVD)법에 의해 산화 실리콘을 성막하는 경우에는, 반응 가스(프리커서)가 트렌치의 저부까지 확산되기 전에 기상중에서 반응하거나, 트렌치 측면에 흡착되므로, 트렌치의 개구 부근에서의 막 두께가 두꺼워지는(이른바, 오버 행이 형성되는) 경향이 있다. 또한, 트렌치의 개구 부근이 산화 실리콘에 의해 밀봉되면, 트렌치 내에는 공동(보이드)이 남아 버리는 경우가 있다(예를 들어, 특허문헌 1).
그런데, 서로 반응하는 적어도 2종류의 반응 가스를 기판에 대해 교대로 공급함으로써, 양 반응 가스의 반응 생성물을 기판에 성막하는 원자층 성막(ALD)법[분자층 성막(MLD)법]이라 불리는 성막 방법이 알려져 있다. 이 성막 방법에서는, 기초층에 흡착된 한쪽의 원료 가스가 다른 쪽의 원료 가스와 반응하여, 반응 생성물이 생성되므로, CVD법에 비해, 기초층의 단면 형상을 반영한 성막을 실현할 수 있다. 즉, 오버 행이나 보이드의 형성을 저감할 수 있다.
그러나 IC의 고집적도화의 요청으로부터, 트렌치 등의 오목부의 어스펙트비는 점점 높아지고 있다. 그로 인해, ALD법에 있어서도, 보이드가 형성되지 않도록 트렌치 등을 매립할 뿐만 아니라, 트렌치 등의 오목부의 내면에 성막되는 박막의 막 두께 분포까지 제어하는 것이 요구되고 있다.
일본 특허 출원 공개 제2007-42884호 공보 국제 공개 제2008/010546호
본 발명은 상술한 사정에 비추어 이루어지고, 기판에 형성되는 오목부에 막을 성막할 때에, 그 막 두께의 분포를 제어하는 것이 가능한 성막 방법을 제공한다.
본 발명의 형태에 따르면, 오목부가 형성된 기판 상에, 수산기에 흡착되기 쉬운 제1 반응 가스와, 당해 제1 반응 가스와 반응하는 제2 반응 가스의 반응 생성물에 의한 막을 성막하는 성막 방법이며, 상기 기판의 상기 오목부의 깊이 방향에 있어서의 수산기의 흡착 분포를 제어하는 스텝과, 상기 수산기가 흡착된 상기 기판 상에 상기 제1 반응 가스를 공급하는 스텝과, 상기 제1 반응 가스가 흡착된 상기 기판 상에 상기 제2 반응 가스를 공급하는 스텝을 포함하는 성막 방법이 제공된다.
본 발명의 다른 목적, 특징 및 장점들은, 첨부한 도면과 함께 볼 때 이하의 상세한 설명으로부터 보다 명백해질 것이다
도 1은 실시 형태에 의한 성막 장치를 도시하는 개략 단면도.
도 2는 도 1의 성막 장치의 진공 용기 내의 구성을 도시하는 개략 사시도.
도 3은 도 1의 성막 장치의 진공 용기 내의 구성을 도시하는 개략 평면도.
도 4는 도 1의 성막 장치의 진공 용기 내에 회전 가능하게 설치되는 회전 테이블의 동심원을 따른, 당해 진공 용기의 개략 단면도.
도 5는 도 1의 성막 장치의 다른 개략 단면도.
도 6은 도 1의 성막 장치에 설치되는 플라즈마 발생원을 도시하는 개략 단면도.
도 7은 도 1의 성막 장치에 설치되는 플라즈마 발생원을 도시하는 다른 개략 단면도.
도 8은 도 1의 성막 장치에 설치되는 플라즈마 발생원을 도시하는 개략 상면도.
도 9는 실시 형태에 의한 성막 방법을 설명하기 위한 모식도.
도 10은 실시 형태에 의한 성막 방법을 설명하기 위한 모식도.
도 11은 실시 형태에 의한 성막 방법의 변형예를 설명하기 위한 모식도.
도 12는 실시 형태에 의한 성막 방법의 변형예를 설명하기 위한 모식도.
도 13은 실시 형태에 의한 성막 방법의 변형예를 설명하기 위한 모식도.
도 14는 실시 형태에 의한 성막 방법의 변형예를 설명하기 위한 모식도.
도 15는 실시예에서 사용한 웨이퍼에 형성된 홀의 형상을 도시하는 모식도.
도 16은 실시예의 결과를 나타내는 그래프.
도 17은 실시예의 결과를 나타내는 도면.
도 18은 실시예의 결과를 나타내는 도면.
이하, 첨부의 도면을 참조하면서, 본 발명의 한정적이 아닌 예시의 실시 형태에 대해 설명한다. 첨부의 모든 도면 중, 동일 또는 대응하는 부재 또는 부품에 대해서는, 동일 또는 대응하는 참조 번호를 부여하고, 중복되는 설명을 생략한다. 또한, 도면은, 부재 혹은 부품간의 상대비를 나타내는 것을 목적으로 하지 않으며, 따라서 구체적인 치수는, 이하의 한정적이 아닌 실시 형태에 비추어, 당업자에 의해 결정되어야 할 것이다.
(성막 장치)
우선, 본 발명의 실시 형태에 따른 성막 방법을 실시하는 데 적합한 성막 장치에 대해 설명한다.
도 1은, 성막 장치(1)의 구성의 일례를 나타내는 단면도이다.
성막 장치(1)는, 진공 용기(10)와, 회전 테이블(2)과, 히터 유닛(7)과, 케이스체(20)와, 코어부(21)와, 회전축(22)과, 구동부(23)를 포함한다. 진공 용기(10)는, 거의 원형의 평면 형상을 갖는다. 진공 용기(10)는, 바닥이 있는 원통 형상을 갖는 용기 본체(12)와, 용기 본체(12)의 상면에 배치되는 천장판(11)을 갖는다. 천장판(11)은, 예를 들어 O링 등의 시일 부재(13)(도 1)를 통해 기밀하고 착탈 가능하게 용기 본체(12) 상에 배치된다.
회전 테이블(2)은, 진공 용기(10) 내에 설치되고, 진공 용기(10)의 중심에 회전 중심을 갖는다. 회전 테이블(2)은, 중심부에서 원통 형상의 코어부(21)에 고정되어 있다. 코어부(21)는, 연직 방향으로 신장되는 회전축(22)의 상단부에 고정되어 있다. 회전축(22)은 진공 용기(10)의 저부(14)를 관통하고, 그 하단부가 회전축(22)(도 1)을 연직축 주위로 회전시키는 구동부(23)에 장착되어 있다. 회전축(22) 및 구동부(23)는, 상면이 개방된 통 형상의 케이스체(20) 내에 수용되어 있다. 케이스체(20)는, 그 상면에 설치된 플랜지 부분이 진공 용기(10)의 저부(14)의 하면에 기밀하게 장착되어, 케이스체(20)의 내부 분위기가 외부 분위기로부터 격리된다.
도 2 및 도 3은, 진공 용기(10) 내의 구조를 설명하는 도면이다. 설명의 편의상, 천장판(11)의 도시를 생략하고 있다.
도 2 및 도 3에 도시하는 바와 같이, 회전 테이블(2)의 표면에는, 도면 중 화살표 A로 나타내는 회전 방향(주위 방향)을 따라 복수(도시한 예에서는 5매)의 반도체 웨이퍼(이하, 「웨이퍼」라 함)(W)를 적재하기 위한 원 형상의 오목부(24)가 형성되어 있다. 또한 도 3에는 편의상 1개의 오목부(24)에만 웨이퍼(W)를 도시한다. 이 오목부(24)는, 웨이퍼(W)의 직경(예를 들어, 300㎜)보다도 약간, 예를 들어 4㎜ 큰 내경과, 웨이퍼(W)의 두께와 거의 동등한 깊이를 갖고 있다. 따라서, 웨이퍼(W)를 오목부(24)에 적재하면, 웨이퍼(W)의 표면과 회전 테이블(2)의 표면[웨이퍼(W)가 적재되지 않는 영역]이 동일한 높이로 된다.
오목부(24)의 저면에는, 웨이퍼(W)의 이면을 지지하여 웨이퍼(W)를 승강시키기 위한, 예를 들어 3개의 승강 핀이 관통하는 관통 구멍(모두 도시하지 않음)이 형성되어 있다.
회전 테이블(2)의 상방에는, 각각 예를 들어 석영으로 이루어지는 반응 가스 노즐(31), 반응 가스 노즐(32), 분리 가스 노즐(41, 42) 및 가스 도입 노즐(92)이 진공 용기(10)의 주위 방향[회전 테이블(2)의 회전 방향(도 3의 화살표 A)]으로 서로 간격을 두고 배치되어 있다. 도시한 예에서는, 후술하는 반송구(15)로부터 시계 방향[회전 테이블(2)의 회전 방향]으로, 가스 도입 노즐(92), 분리 가스 노즐(41), 반응 가스 노즐(31), 분리 가스 노즐(42) 및 반응 가스 노즐(32)이 이 순서로 배열되어 있다. 이들 노즐(92, 31, 32, 41, 42)은, 각 노즐(92, 31, 32, 41, 42)의 기단부인 가스 도입 포트(92a, 31a, 32a, 41a, 42a)(도 3)를 용기 본체(12)의 외주벽에 고정함으로써, 진공 용기(10)의 외주벽으로부터 진공 용기(10) 내로 도입되어, 용기 본체(12)의 반경 방향을 따라 회전 테이블(2)에 대해 수평하게 신장되도록 장착되어 있다.
또한, 가스 도입 노즐(92)의 상방에는, 도 3에 있어서, 파선으로 간략화하여 나타내는 바와 같이 플라즈마 발생원(80)이 설치되어 있다. 플라즈마 발생원(80)에 대해서는 후술한다.
본 실시 형태에 있어서는, 반응 가스 노즐(31)은, 도시하지 않은 배관 및 유량 제어기 등을 통해, 제1 반응 가스로서의 Si(실리콘) 함유 가스의 공급원(도시하지 않음)에 접속되어 있다. 반응 가스 노즐(32)은, 도시하지 않은 배관 및 유량 제어기 등을 통해, 제2 반응 가스로서의 산화 가스의 공급원(도시하지 않음)에 접속되어 있다. 분리 가스 노즐(41, 42)은, 모두 도시하지 않은 배관 및 유량 제어 밸브 등을 통해, 분리 가스로서의 질소(N2) 가스의 공급원(도시하지 않음)에 접속되어 있다.
본 실시 형태에 있어서는, Si 함유 가스로서 유기 아미노실란 가스가 사용되고, 산화 가스로서 O3(오존) 가스가 사용되어 있다.
반응 가스 노즐(31, 32)에는, 회전 테이블(2)을 향해 개방되는 복수의 가스 토출 구멍(33)이, 반응 가스 노즐(31, 32)의 길이 방향을 따라, 예를 들어 10㎜의 간격으로 배열되어 있다. 반응 가스 노즐(31)의 하방 영역은, Si 함유 가스를 웨이퍼(W)에 흡착시키기 위한 제1 처리 영역(P1)이 된다. 반응 가스 노즐(32)의 하방 영역은, 제1 처리 영역(P1)에 있어서 웨이퍼(W)에 흡착된 Si 함유 가스를 산화시키는 제2 처리 영역(P2)이 된다.
도 2 및 도 3을 참조하면, 진공 용기(10) 내에는 2개의 볼록 형상부(4)가 설치되어 있다. 볼록 형상부(4)는, 정상부가 원호 형상으로 절단된 대략 부채형의 평면 형상을 갖고, 본 실시 형태에 있어서는, 내원호가 돌출부(5)(후술)에 연결되고, 외원호가 진공 용기(10)의 용기 본체(12)의 내주면을 따르도록 배치되어 있다. 볼록 형상부(4)는, 분리 가스 노즐(41, 42)과 함께 분리 영역(D)을 구성하므로, 후술하는 바와 같이, 회전 테이블(2)을 향해 돌출되도록 천장판(11)의 이면에 장착되어 있다.
도 4는 반응 가스 노즐(31)로부터 반응 가스 노즐(32)까지 회전 테이블(2)의 동심원을 따른 진공 용기(10)의 단면을 도시하고 있다. 도시한 바와 같이, 천장판(11)의 이면에 볼록 형상부(4)가 장착되어 있으므로, 진공 용기(10) 내에는, 볼록 형상부(4)의 하면인 평탄한 낮은 천장면(44)(제1 천장면)과, 이 천장면(44)의 주위 방향 양측에 위치하는, 천장면(44)보다도 높은 천장면(45)(제2 천장면)이 존재한다. 천장면(44)은, 정상부가 원호 형상으로 절단된 부채형의 평면 형상을 갖고 있다.
또한, 도시한 바와 같이, 볼록 형상부(4)에는 주위 방향 중앙에 있어서 홈부(43)가 형성되어 있고, 홈부(43)는 회전 테이블(2)의 반경 방향을 따라 연장되어 있다. 홈부(43)에는, 분리 가스 노즐(42)이 수용되어 있다. 다른 하나의 볼록 형상부(4)에도 마찬가지로 홈부(43)가 형성되고, 여기에 분리 가스 노즐(41)이 수용되어 있다. 또한, 높은 천장면(45)의 하방의 공간에 반응 가스 노즐(31, 32)이 각각 설치되어 있다. 이들 반응 가스 노즐(31, 32)은, 천장면(45)으로부터 이격되어 웨이퍼(W)의 근방에 설치되어 있다. 또한, 설명의 편의상, 도 4에 도시하는 바와 같이, 반응 가스 노즐(31)이 설치되는, 높은 천장면(45)의 하방의 공간을 참조 부호 481로 나타내고, 반응 가스 노즐(32)이 설치되는, 높은 천장면(45)의 하방의 공간을 참조 부호 482로 나타낸다.
또한, 볼록 형상부(4)의 홈부(43)에 수용되는 분리 가스 노즐(41, 42)에는, 회전 테이블(2)을 향해 개방되는 복수의 가스 토출 구멍(42h)(도 4 참조)이, 분리 가스 노즐(41, 42)의 길이 방향을 따라, 예를 들어 10㎜의 간격으로 배열되어 있다.
천장면(44)은, 협애한 공간인 분리 공간(H)을 회전 테이블(2)에 대해 형성하고 있다. 분리 가스 노즐(42)의 토출 구멍(42h)으로부터 N2 가스가 공급되면, 이 N2 가스는, 분리 공간(H)을 통해 공간(481) 및 공간(482)을 향해 흐른다. 이때, 분리 공간(H)의 용적은 공간(481 및 482)의 용적보다도 작으므로, N2 가스에 의해 분리 공간(H)의 압력을 공간(481 및 482)의 압력에 비해 높게 할 수 있다. 즉, 공간(481 및 482) 사이에 압력이 높은 분리 공간(H)이 형성된다. 또한, 분리 공간(H)으로부터 공간(481 및 482)으로 흘러나오는 N2 가스가, 제1 영역(P1)으로부터의 Si 함유 가스와, 제2 영역(P2)으로부터의 산화 가스에 대한 카운터 플로우로서 작용한다. 따라서, 제1 영역(P1)으로부터의 Si 함유 가스와, 제2 영역(P2)으로부터의 산화 가스가 분리 공간(H)에 의해 분리된다. 따라서, 진공 용기(10) 내에 있어서 Si 함유 가스와 산화 가스가 혼합되어, 반응하는 것이 억제된다.
또한, 회전 테이블(2)의 상면에 대한 천장면(44)의 높이 h1은, 성막시의 진공 용기(10) 내의 압력, 회전 테이블(2)의 회전 속도, 공급하는 분리 가스(N2 가스)의 공급량 등을 고려하여, 분리 공간(H)의 압력을 공간(481 및 482)의 압력에 비해 높게 하는 데 적합한 높이로 설정하는 것이 바람직하다.
한편, 천장판(11)의 하면에는, 회전 테이블(2)을 고정하는 코어부(21)의 외주를 둘러싸는 돌출부(5)(도 2 및 도 3)가 설치되어 있다. 이 돌출부(5)는, 본 실시 형태에 있어서는, 볼록 형상부(4)에 있어서의 회전 중심측의 부위와 연속되어 있고, 그 하면이 천장면(44)과 동일한 높이로 형성되어 있다.
도 1은, 도 3의 I-I'선을 따른 단면도로, 천장면(45)이 설치되어 있는 영역을 도시하고 있다. 한편, 도 5는 천장면(44)이 설치되어 있는 영역을 도시하는 단면도이다. 도 5에 도시하는 바와 같이, 부채형의 볼록 형상부(4)의 주연부[진공 용기(10)의 외측 테두리측의 부위]에는, 회전 테이블(2)의 외측 단부면에 대향하도록 L자형으로 굴곡되는 굴곡부(46)가 형성되어 있다. 이 굴곡부(46)는, 볼록 형상부(4)와 마찬가지로, 분리 영역(D)의 양측으로부터 반응 가스가 침입하는 것을 억제하여, 양 반응 가스의 혼합을 억제한다. 부채형의 볼록 형상부(4)는 천장판(11)에 설치되고, 천장판(11)을 용기 본체(12)로부터 제거할 수 있도록 되어 있으므로, 굴곡부(46)의 외주면과 용기 본체(12) 사이에는 약간 간극이 있다. 굴곡부(46)의 내주면과 회전 테이블(2)의 외측 단부면의 간극 및 굴곡부(46)의 외주면과 용기 본체(12)의 간극은, 예를 들어 회전 테이블(2)의 상면에 대한 천장면(44)의 높이와 마찬가지의 치수로 설정되어 있다.
용기 본체(12)의 내주벽은, 분리 영역(D)에 있어서는 도 4에 도시하는 바와 같이 굴곡부(46)의 외주면과 접근하여 수직면으로 형성되어 있지만, 분리 영역(D) 이외의 부위에 있어서는, 도 1에 도시하는 바와 같이 예를 들어 회전 테이블(2)의 외측 단부면과 대향하는 부위로부터 저부(14)에 걸쳐 외측으로 오목하게 들어가 있다. 이하, 설명의 편의상, 대략 직사각형의 단면 형상을 갖는 오목하게 들어간 부분을 배기 영역이라 기재한다. 구체적으로는, 제1 처리 영역(P1)에 연통되는 배기 영역을 제1 배기 영역(E1)이라 기재하고, 제2 처리 영역(P2)에 연통되는 영역을 제2 배기 영역(E2)이라 기재한다. 이들 제1 배기 영역(E1) 및 제2 배기 영역(E2)의 저부에는, 도 1 내지 도 3에 도시하는 바와 같이, 각각 제1 배기구(610) 및 제2 배기구(620)가 형성되어 있다. 제1 배기구(610) 및 제2 배기구(620)는, 도 1에 도시하는 바와 같이 각각 배기관(630)을 통해 진공 배기 수단인, 예를 들어 진공 펌프(640)에 접속되어 있다. 또한 도 1 중, 참조 부호 650은 압력 제어기이다.
회전 테이블(2)과 진공 용기(10)의 저부(14) 사이의 공간에는, 도 1 및 도 4에 도시하는 바와 같이 가열 수단인 히터 유닛(7)이 설치되고, 회전 테이블(2)을 통해 회전 테이블(2) 상의 웨이퍼(W)가, 프로세스 레시피에서 정해진 온도(예를 들어, 450℃)로 가열된다. 회전 테이블(2)의 주연 부근의 하방측에는, 회전 테이블(2)의 상방 공간으로부터 배기 영역(E1, E2)에 이르기까지의 분위기와 히터 유닛(7)이 배치되어 있는 분위기를 구획하여 회전 테이블(2)의 하방 영역으로의 가스의 침입을 억제하기 위해, 링 형상의 커버 부재(71)가 설치되어 있다(도 5). 이 커버 부재(71)는, 회전 테이블(2)의 외측 테두리부 및 외측 테두리부보다도 외주측을 하방측으로부터 면하도록 설치된 내측 부재(71a)와, 이 내측 부재(71a)와 진공 용기(10)의 내벽면 사이에 설치된 외측 부재(71b)를 구비하고 있다. 외측 부재(71b)는, 분리 영역(D)에 있어서 볼록 형상부(4)의 외측 테두리부에 형성된 굴곡부(46)의 하방에서, 굴곡부(46)와 근접하여 설치되고, 내측 부재(71a)는, 회전 테이블(2)의 외측 테두리부 하방(및 외측 테두리부보다도 약간 외측의 부분의 하방)에 있어서, 히터 유닛(7)을 전체 둘레에 걸쳐 둘러싸고 있다.
히터 유닛(7)이 배치되어 있는 공간보다도 회전 중심 부근의 부위에 있어서의 저부(14)는, 회전 테이블(2)의 하면의 중심부 부근에 있어서의 코어부(21)에 접근하도록 상방측으로 돌출되어 돌출부(12a)를 이루고 있다. 이 돌출부(12a)와 코어부(21) 사이는 좁은 공간으로 되어 있고, 또한 저부(14)를 관통하는 회전축(22)의 관통 구멍의 내주면과 회전축(22)의 간극이 좁게 되어 있고, 이들 좁은 공간은 케이스체(20)에 연통되어 있다. 그리고 케이스체(20)에는 퍼지 가스인 N2 가스를 좁은 공간 내에 공급하여 퍼지하기 위한 퍼지 가스 공급관(72)이 설치되어 있다. 또한 진공 용기(10)의 저부(14)에는, 히터 유닛(7)의 하방에 있어서 주위 방향으로 소정의 각도 간격으로, 히터 유닛(7)의 배치 공간을 퍼지하기 위한 복수의 퍼지 가스 공급관(73)이 설치되어 있다[도 5에는 하나의 퍼지 가스 공급관(73)을 나타냄]. 또한, 히터 유닛(7)과 회전 테이블(2) 사이에는, 히터 유닛(7)이 설치된 영역으로의 가스의 침입을 억제하기 위해, 외측 부재(71b)의 내주벽[내측 부재(71a)의 상면]으로부터 돌출부(12a)의 상단부와의 사이를 주위 방향에 걸쳐 덮는 덮개 부재(7a)가 설치되어 있다. 덮개 부재(7a)는 예를 들어 석영으로 제작할 수 있다.
또한, 진공 용기(10)의 천장판(11)의 중심부에는 분리 가스 공급관(51)이 접속되어 있어, 천장판(11)과 코어부(21) 사이의 공간(52)에 분리 가스인 N2 가스를 공급하도록 구성되어 있다. 이 공간(52)에 공급된 분리 가스는, 돌출부(5)와 회전 테이블(2)의 좁은 간극(50)을 통해 회전 테이블(2)의 웨이퍼 적재 영역측의 표면을 따라 주연을 향해 토출된다. 공간(50)은 분리 가스에 의해 공간(481) 및 공간(482)보다도 높은 압력으로 유지될 수 있다. 따라서, 공간(50)에 의해, 제1 처리 영역(P1)에 공급되는 Si 함유 가스와 제2 처리 영역(P2)에 공급되는 산화 가스가, 중심 영역(C)을 통과하여 혼합되는 것이 억제된다. 즉, 공간(50)[또는 중심 영역(C)]은 분리 공간(H)[또는 분리 영역(D)]과 마찬가지로 기능할 수 있다.
또한, 진공 용기(10)의 측벽에는, 도 2, 도 3에 도시하는 바와 같이, 외부의 반송 아암(10)과 회전 테이블(2) 사이에서 기판인 웨이퍼(W)의 전달을 행하기 위한 반송구(15)가 형성되어 있다. 이 반송구(15)는 도시하지 않은 게이트 밸브에 의해 개폐된다. 또한 회전 테이블(2)에 있어서의 웨이퍼 적재 영역인 오목부(24)는 이 반송구(15)에 면하는 위치에서 반송 아암(10)과의 사이에서 웨이퍼(W)의 전달이 행해지므로, 회전 테이블(2)의 하방측에 있어서 전달 위치에 대응하는 부위에, 오목부(24)를 관통하여 웨이퍼(W)를 이면으로부터 들어올리기 위한 전달용 승강 핀 및 그 승강 기구(모두 도시하지 않음)가 설치되어 있다.
다음에, 도 6 내지 도 8을 참조하면서, 플라즈마 발생원(80)에 대해 설명한다. 도 6은 회전 테이블(2)의 반경 방향을 따른 플라즈마 발생원(80)의 개략 단면도이다. 도 7은, 회전 테이블(2)의 반경 방향과 직교하는 방향을 따른 플라즈마 발생원(80)의 개략 단면도이다. 도 8은 플라즈마 발생원(80)의 개략을 도시하는 상면도이다. 도시의 편의상, 이들 도면에 있어서 일부의 부재를 간략화하고 있다.
도 6을 참조하면, 플라즈마 발생원(80)은, 고주파 투과성의 재료로 제작되고, 상면으로부터 오목하게 들어간 오목부를 갖고, 천장판(11)에 형성된 개구부(11a)에 끼워 넣어지는 프레임 부재(81)와, 프레임 부재(81)의 오목부 내에 수용되고, 상부가 개방된 대략 상자 형상의 형상을 갖는 패러데이(faraday) 차폐판(82)과, 패러데이 차폐판(82)의 저면 상에 배치되는 절연판(83)과, 절연판(83)의 상방에 지지되고, 대략 팔각형의 상면 형상을 갖는 코일 형상의 안테나(85)를 구비한다.
천장판(11)의 개구부(11a)는 복수의 단차부를 갖고 있고, 그 중 하나의 단차부에는 전체 둘레에 걸쳐 홈부가 형성되고, 이 홈부에 예를 들어 O-링 등의 시일 부재(81a)가 끼워 넣어져 있다. 한편, 프레임 부재(81)는, 개구부(11a)의 단차부에 대응하는 복수의 단차부를 갖고 있고, 프레임 부재(81)를 개구부(11a)에 끼워 넣으면, 복수의 단차부 중 하나의 단차부의 이면이, 개구부(11a)의 홈부에 끼워 넣어진 시일 부재(81a)와 접하고, 이에 의해 천장판(11)과 프레임 부재(81) 사이의 기밀성이 유지된다. 또한, 도 6에 도시하는 바와 같이, 천장판(11)의 개구부(11a)에 끼워 넣어지는 프레임 부재(81)의 외주를 따른 압박 부재(81c)가 설치되고, 이에 의해 프레임 부재(81)가 천장판(11)에 대해 하방으로 압박된다. 이로 인해, 천장판(11)과 프레임 부재(81) 사이의 기밀성이 보다 확실하게 유지된다.
프레임 부재(81)의 하면은, 진공 용기(10) 내의 회전 테이블(2)에 대향하고 있고, 그 하면의 외주에는 전체 둘레에 걸쳐 하방으로[회전 테이블(2)을 향해] 돌출되는 돌기부(81b)가 설치되어 있다. 돌기부(81b)의 하면은 회전 테이블(2)의 표면에 근접하고 있고, 돌기부(81b)와, 회전 테이블(2)의 표면과, 프레임 부재(81)의 하면에 의해 회전 테이블(2)의 상방에 공간[이하, 내부 공간(S)]이 구획되어 있다. 또한, 돌기부(81b)의 하면과 회전 테이블(2)의 표면의 간격은, 분리 공간(H)(도 4)에 있어서의 천장면(11)의 회전 테이블(2)의 상면에 대한 높이 h1과 거의 동일해도 된다.
또한, 이 내부 공간(S)에는, 돌기부(81b)를 관통한 가스 도입 노즐(92)이 연장되어 있다. 가스 도입 노즐(92)에는, 본 실시 형태에 있어서는, 도 6에 도시하는 바와 같이, 아르곤(Ar) 가스가 충전되는 아르곤 가스 공급원(93a)과, 산소(O2) 가스가 충전되는 산소 가스 공급원(93b)과, 암모니아(NH3) 가스가 충전되는 암모니아 가스 공급원(93c)이 접속되어 있다. 아르곤 가스 공급원(93a), 산소 가스 공급원(93b) 및 암모니아 가스 공급원(93c)으로부터, 대응하는 유량 제어기(94a, 94b 및 94c)에 의해 유량 제어된 Ar 가스, O2 가스 및 NH3 가스가, 소정의 유량비(혼합비)로 내부 공간(S)에 공급된다.
또한, 가스 도입 노즐(92)에는, 그 길이 방향을 따라 소정의 간격(예를 들어, 10㎜)으로 복수의 토출 구멍(92a)이 형성되어 있고, 토출 구멍(92a)으로부터 상술한 Ar 가스 등이 토출된다. 토출 구멍(92a)은, 도 7에 도시하는 바와 같이, 회전 테이블(2)에 대해 수직한 방향으로부터 회전 테이블(2)의 회전 방향의 상류측을 향해 기울어져 있다. 이로 인해, 가스 도입 노즐(92)로부터 공급되는 가스는, 회전 테이블(2)의 회전 방향과 반대 방향으로, 구체적으로는 돌기부(81b)의 하면과 회전 테이블(2)의 표면 사이의 간극을 향해 토출된다. 이에 의해, 회전 테이블(2)의 회전 방향을 따라 플라즈마 발생원(80)보다도 상류측에 위치하는 천장면(45)의 하방의 공간으로부터 반응 가스나 분리 가스가, 내부 공간(S) 내로 유입되는 것이 억지된다. 또한, 상술한 바와 같이, 프레임 부재(81)의 하면의 외주를 따라 형성되는 돌기부(81b)가 회전 테이블(2)의 표면에 근접하고 있으므로, 가스 도입 노즐(92)로부터의 가스에 의해 내부 공간(S) 내의 압력을 용이하게 높게 유지할 수 있다. 이것에 의해서도, 반응 가스나 분리 가스가 내부 공간(S) 내로 유입되는 것이 억지된다.
패러데이 차폐판(82)은, 금속 등의 도전성 재료로 제작되고, 도시는 생략하지만 접지되어 있다. 도 8에 명확하게 도시되는 바와 같이, 패러데이 차폐판(82)의 저부에는, 복수의 슬릿(82s)이 형성되어 있다. 각 슬릿(82s)은, 대략 팔각형의 평면 형상을 갖는 안테나(85)의 대응하는 변과 거의 직교하도록 연장되어 있다.
또한, 패러데이 차폐판(82)은, 도 7 및 도 8에 도시하는 바와 같이, 상단부의 2개소에 있어서 외측으로 절곡되는 지지부(82a)를 갖고 있다. 지지부(82a)가 프레임 부재(81)의 상면에 지지됨으로써, 프레임 부재(81) 내의 소정의 위치에 패러데이 차폐판(82)이 지지된다.
절연판(83)은, 예를 들어 석영 글래스에 의해 제작되고, 패러데이 차폐판(82)의 저면보다도 약간 작은 크기를 갖고, 패러데이 차폐판(82)의 저면에 적재된다. 절연판(83)은, 패러데이 차폐판(82)과 안테나(85)를 절연하는 한편, 안테나(85)로부터 방사되는 고주파를 하방으로 투과시킨다.
안테나(85)는, 평면 형상이 대략 팔각형으로 되도록 구리제의 중공관(파이프)을 예를 들어 3중으로 권회함으로써 형성된다. 파이프 내에 냉각수를 순환시킬 수 있고, 이에 의해 안테나(85)에 공급되는 고주파에 의해 안테나(85)가 고온으로 가열되는 것이 방지된다. 또한, 안테나(85)에는 기립 설치부(85a)가 설치되어 있고, 기립 설치부(85a)에 지지부(85b)가 장착되어 있다. 지지부(85b)에 의해, 안테나(85)가 패러데이 차폐판(82) 내의 소정의 위치에 유지된다. 또한, 지지부(85b)에는, 매칭 박스(86)를 통해 고주파 전원(87)이 접속되어 있다. 고주파 전원(87)은, 예를 들어 13.56㎒의 주파수를 갖는 고주파를 발생시킬 수 있다.
이러한 구성을 갖는 플라즈마 발생원(80)에 따르면, 매칭 박스(86)를 통해 고주파 전원(87)으로부터 안테나(85)에 고주파 전력을 공급하면, 안테나(85)에 의해 전자계가 발생한다. 이 전자계 중 전계 성분은, 패러데이 차폐판(82)에 의해 차폐되므로, 하방으로 전파될 수는 없다. 한편, 자계 성분은 패러데이 차폐판(82)의 복수의 슬릿(82s)을 통해 내부 공간(S) 내로 전파된다. 이 자계 성분에 의해, 가스 도입 노즐(92)로부터 소정의 유량비(혼합비)로 내부 공간(S)에 공급되는 Ar 가스, O2 가스 및 NH3 가스 등의 가스로부터 플라즈마가 발생한다. 이와 같이 하여 발생하는 플라즈마에 따르면, 웨이퍼(W) 상에 퇴적되는 박막에의 조사 손상이나, 진공 용기(10) 내의 각 부재의 손상 등을 저감시킬 수 있다.
또한, 도 1에 도시하는 바와 같이, 본 실시 형태에 따른 성막 장치(1)는, 장치 전체의 동작의 컨트롤을 행하기 위한 컴퓨터로 이루어지는 제어부(100) 및 기억부(101)를 더 포함한다. 기억부(101)는, 제어부(100)의 제어하에서, 후술하는 성막 방법을 성막 장치(1)에 실시시키는 프로그램을 기억한다. 이 프로그램은 후술하는 성막 방법을 실행하도록 스텝군이 짜여져 있다. 기억부(101)는, 예를 들어 하드디스크 등에 의해 구성할 수 있다. 기억부(101)에 기억되는 프로그램은, 예를 들어 콤팩트 디스크, 광자기 디스크, 메모리 카드, 플렉시블 디스크 등의 매체(102)로부터, 소정의 판독 장치에 의해 기억부(101)에 판독될 수 있다.
(성막 방법)
다음에, 본 발명의 실시 형태에 따른 성막 방법에 대해 상술한 성막 장치(1)를 사용하여 행하는 경우를 예로 들어 설명한다.
본 실시 형태에서는, 웨이퍼(W)로서 실리콘 웨이퍼를 사용하는 것으로 하고, 그 실리콘 웨이퍼에는, 도 9의 (a)에 도시하는 바와 같이, 트렌치(T)(오목부)가 형성되어 있다.
또한, 성막 장치(1)에 있어서, 반응 가스 노즐(31)로부터 유기 아미노실란 가스가 공급되고, 반응 가스 노즐(32)로부터 산화 가스(산소 함유 가스)로서 O3 가스가 공급되고, 가스 도입 노즐(92)로부터 Ar 가스 및 O2 가스의 혼합 가스(산소 함유 가스, 이하, Ar/O2 가스라 기재함)가 공급되는 것으로 한다.
우선, 도시하지 않은 게이트 밸브를 개방하여, 외부로부터 반송 아암(10)(도 3)에 의해 반송구(15)(도 2 및 도 3)를 통해 웨이퍼(W)를 회전 테이블(2)의 오목부(24) 내에 전달한다. 이 전달은, 오목부(24)가 반송구(15)에 면하는 위치에 정지하였을 때에 오목부(24)의 저면의 관통 구멍을 통해 진공 용기(10)의 저부측으로부터 도시하지 않은 승강 핀이 승강함으로써 행해진다. 이러한 웨이퍼(W)의 전달을, 회전 테이블(2)을 간헐적으로 회전시켜 행하고, 회전 테이블(2)의 5개의 오목부(24) 내에 각각 웨이퍼(W)를 적재한다.
계속해서 게이트 밸브를 폐쇄하여, 진공 펌프(640)에 의해 도달 가능 진공도까지 진공 용기(10) 내를 배기한 후, 분리 가스 노즐(41, 42)로부터 분리 가스인 N2 가스를 소정의 유량으로 토출시키고, 분리 가스 공급관(51) 및 퍼지 가스 공급관(72, 72)으로부터도 N2 가스를 소정의 유량으로 토출시킨다. 이것에 수반하여, 압력 제어 수단(650)(도 1)에 의해 진공 용기(10) 내를 미리 설정한 처리 압력으로 제어한다. 계속해서, 회전 테이블(2)을 시계 방향으로 예를 들어 20rpm의 회전 속도로 회전시키면서 히터 유닛(7)에 의해 웨이퍼(W)를 예를 들어 450℃로 가열한다.
이 후, 반응 가스 노즐(31)(도 2 및 도 3)로부터 유기 아미노실란 가스를 공급하고, 반응 가스 노즐(32)로부터 O3 가스를 공급한다. 또한, 가스 도입 노즐(92)로부터 Ar/O2 가스를 공급하고, 플라즈마 발생원(80)의 안테나(85)에 대해 13.56㎒의 주파수를 갖는 고주파를, 예를 들어 1400W의 전력으로 공급한다. 이에 의해, 플라즈마 발생원(80)(도 6)과 회전 테이블(2) 사이의 내부 공간(S)에 있어서 산소 플라즈마가 생성된다. 이 산소 플라즈마 중에는, 산소 이온이나 산소 라디칼 등의 활성종이나, 고에너지 입자가 생성되어 있다.
회전 테이블(2)의 회전에 의해, 웨이퍼(W)는, 제1 처리 영역(P1), 분리 영역(D), 제2 처리 영역(P2), 내부 공간(S)(의 하방의 영역) 및 분리 영역(D)을 이 순서로 반복하여 통과한다(도 3 참조).
제1 처리 영역(P1)에 있어서, 도 9의 (b)에 도시하는 바와 같이, 웨이퍼(W)의 표면(U)이나 트렌치(T)의 내면에 유기 아미노실란 가스의 분자(Ms)가 흡착되어, 유기 아미노실란의 분자층(61)이 형성된다. 분리 영역(D)을 통과한 후, 제2 처리 영역(P2)에 있어서, 도 9의 (c)에 도시하는 바와 같이, 웨이퍼(W)의 표면(U)이나 트렌치(T)의 내면에 흡착된 유기 아미노실란 가스가 O3 가스 분자(Mo)에 의해 산화되고, 도 9의 (d)에 도시하는 바와 같이, 트렌치(T)의 내면을 따라 산화 실리콘막(62)이 성막된다. 유기 아미노실란 가스가 산화될 때에는, 부생성물로서 OH기 Hy가 생성된다. 생성된 OH기 Hy는 산화 실리콘막(62)의 표면에 흡착되게 된다.
이어서, 플라즈마 발생원(80)의 내부 공간(S)에 웨이퍼(W)가 도달하면, 웨이퍼(W)는, 도 9의 (e)에 도시하는 바와 같이 산소 플라즈마(Pl)에 노출된다. 이때, 산화 실리콘막(62)에 흡착된 OH기 Hy의 일부는, 산소 플라즈마(Pl) 중의 예를 들어 고에너지 입자의 충돌에 의해 산화 실리콘막(62)으로부터 이탈한다. 산소 플라즈마(Pl)는, 웨이퍼(W)의 표면(U)이나, 트렌치(T)의 개구 부근에는 도달하지만, 트렌치(T)의 저부 부근까지는 도달하기 어렵다. 이로 인해, 웨이퍼(W)의 표면(U)과 트렌치(T)의 개구 부근의 측면에 있어서, 비교적 다량의 OH기 Hy가 이탈한다. 그 결과, 도 9의 (e)에 도시하는 바와 같이, 트렌치(T)의 저부 및 저부 부근의 측면에 있어서 OH기 Hy의 밀도가 높고, 트렌치(T)의 개구 및 웨이퍼(W)의 표면(U)을 향해 밀도가 낮아지도록 OH기 Hy가 분포하게 된다.
다음에, 회전 테이블(2)의 회전에 의해 웨이퍼(W)가 제1 처리 영역(P1)에 다시 도달하면, 반응 가스 노즐(31)로부터 공급되는 유기 아미노실란 가스의 분자(Ms)가 웨이퍼(W)의 표면(U)이나 트렌치(T)의 내면에 흡착된다. 이때, 유기 아미노실란 가스의 분자(Ms)는, OH기 Hy에 흡착되기 쉽기 때문에(특허문헌 2), 도 9의 (f)에 도시하는 바와 같이, OH기 Hy의 분포에 따른 분포로 웨이퍼(W)의 표면(U)이나 트렌치(T)의 내면에 흡착된다. 즉, 트렌치(T)의 내면에, 트렌치(T)의 저부 및 저부 부근의 측면에 있어서 밀도가 높고, 트렌치(T)의 개구를 향해 밀도가 낮아지도록 유기 아미노실란 가스의 분자(Ms)가 흡착된다.
계속해서, 웨이퍼(W)가 제2 처리 영역(P2)을 통과할 때, 웨이퍼(W)의 표면(U)이나 트렌치(T)의 내면에 흡착된 유기 아미노실란 가스가 O3 가스에 의해 산화되어, 도 10의 (a)에 도시하는 바와 같이, 산화 실리콘막(62)이 더 성막된다. 여기서, 산화 실리콘막(62)의 막 두께 분포에는, 트렌치(T)의 내면에 흡착된 유기 아미노실란 가스의 밀도가 반영되게 된다. 즉, 산화 실리콘막(62)은, 트렌치(T)의 저부 및 저부 부근의 측면에 있어서 두꺼워지고, 트렌치(T)의 개구를 향해 얇게 되어 있다. 그리고 유기 아미노실란 가스의 산화에 의해 생성된 OH기가 산화 실리콘막(62)의 표면에 흡착된다.
이어서, 웨이퍼(W)가 다시 플라즈마 발생원(80)의 내부 공간(S)에 도달하면, 상술한 바와 같이, 트렌치(T)의 저부 및 저부 부근의 측면에 있어서 OH기의 밀도가 높고, 트렌치(T)의 개구를 향해 밀도가 낮아지도록 OH기가 분포되게 된다.
이 후, 상술한 프로세스가 반복되면, 도 10의 (b)에 도시하는 바와 같이 산화 실리콘막(62)은 트렌치(T)의 저부로부터 두껍게 되어 간다. 산화 실리콘막(62)이 더욱 두껍게 되어 가면, 도 10의 (c)와 같이 보이드가 생기는 일 없이 트렌치(T)가 산화 실리콘으로 매립되어, 도 10의 (d)에 도시하는 바와 같이 트렌치(T)의 매립이 완료된다.
이상과 같이, 본 실시 형태의 성막 방법에 따르면, 유기 아미노실란 가스의 산화에 의해 생성되어 산화 실리콘막(62)에 흡착된 OH기는, 플라즈마 발생원(80)에 의해 생성된 산소 플라즈마에 의해, 트렌치(T)의 저부 및 저부 부근의 측면에 있어서 밀도가 높고, 트렌치(T)의 개구를 향해 밀도가 낮아지도록 분포된다. OH기는, 유기 아미노실란 가스의 흡착 사이트로서 작용하고, OH기의 분포에 따라서 유기 아미노실란 가스가 흡착되므로, 유기 아미노실란 가스도 또한 트렌치(T)의 저부 및 저부 부근의 측면에 있어서 밀도가 높고, 트렌치(T)의 개구를 향해 밀도가 낮아지도록 분포된다. 따라서, 산화 실리콘막(62)은, 트렌치(T)의 저부 및 저부 부근의 측면에 있어서 두꺼워지고, 트렌치(T)의 개구를 향해 얇아지도록 성막된다.
일반적인 CVD법이나 ALD법에 의해 트렌치를 산화 실리콘 등으로 매립하는 경우에는, 프리커서가 트렌치의 저부까지 확산되기 전에 기상중에서 반응하거나, 트렌치 측면에 흡착되므로, 트렌치의 개구 부근에서의 막 두께가 커진다. 이로 인해, 개구가 밀봉되는 한편, 트렌치 내에는 공동(보이드)이 남는 경우가 대부분이다. 이것과의 비교에 의해, 본 실시 형태에 따른 성막 방법의 이점이 이해된다.
(제1 변형예)
상술한 성막 방법에 있어서, Ar/O2 가스에 더하여 수소 함유 가스를 도입 가스 노즐(92)로부터 공급하면서 산소 플라즈마를 생성해도 된다.
웨이퍼(W)가, 제1 처리 영역(P1), 분리 영역(D) 및 제2 처리 영역(P2)을 통과한 후에는, 도 11의 (a)에 도시하는 바와 같이, 웨이퍼(W)의 표면(U)이나 트렌치(T)의 내면에는 산화 실리콘막(62)이 성막되고, 이 산화 실리콘막(62)의 표면에는 OH기 Hy가 흡착되어 있다.
이어서, 웨이퍼(W)가 내부 공간(S)에 도달하고, 웨이퍼(W)가 산소 플라즈마(Pl)에 노출된다. 이때, 도 11의 (b)에 도시하는 바와 같이, 산소 플라즈마(Pl) 중에는 수소 함유 가스로부터 생성된 OH기 Hy가 포함되어 있다. 이로 인해, 산화 실리콘막(62)에 흡착되어 있는 OH기 Hy가 산소 플라즈마(Pl)에 의해 이탈하였다고 해도, 산소 플라즈마(Pl)에 의해 생성된 OH기 Hy가 다시 흡착될 수 있다. 따라서, 도 9의 (e)를 참조하면서 설명한 Ar/O2 가스만의 경우에 비해, 웨이퍼(W)의 표면(U)이나 트렌치(T)의 개구 부근에 있어서의 OH기 Hy의 감소가 억제되고, 따라서 OH기 Hy의 분포는, 트렌치(T)의 내면에 있어서 균일화된다.
이로 인해, 웨이퍼(W)가 제1 처리 영역(P1)에 다시 도달하면, 도 11의 (c)에 도시하는 바와 같이, 반응 가스 노즐(31)로부터의 유기 아미노실란 가스의 분자(Ms)도 또한 트렌치(T)의 내면에 있어서 균일하게 흡착된다. 따라서, 도 11의 (d)에 도시하는 바와 같이, 흡착된 유기 아미노실란 가스가 O3 가스에 의해 산화되어 성막되는 산화 실리콘막(62)도 트렌치(T)의 내면에 있어서 균일하게 성막될 수 있다.
여기서, 수소 함유 가스의 공급량 또한/또는 고주파 전력을 제어하면, 트렌치(T)의 내면(특히, 개구 부근)에 있어서의 OH기 Hy의 분포를 제어할 수 있으므로, 트렌치(T)의 내면에 성막되는 산화 실리콘막의 막 두께 분포를 자유롭게 제어하는 것도 가능해진다.
(제2 변형예)
또한, 회전 테이블(2)의 회전 속도를 제어함으로써도 트렌치 내의 산화 실리콘막의 막 두께 분포를 제어할 수 있다.
도 12의 (a) 및 (b)는, 회전 테이블(2)의 회전 속도가 비교적 작은 예, 도 12의 (c) 및 (d)는, 회전 테이블(2)의 회전 속도가 비교적 큰 예를 도시한다.
도 12의 (a)에 도시하는 바와 같이, 회전 속도가 비교적 느린 경우, 플라즈마 발생원(80)의 하방의 내부 공간(S)에 웨이퍼(W)가 체재하는 시간이 길다. 즉, 웨이퍼(W)가 산소 플라즈마에 노출되는 시간이 길어진다. 그로 인해, 산소 플라즈마(Pl)는, 트렌치(T)의 저면측까지 깊게 진입할 수 있다. 따라서, 예를 들어 산소 플라즈마(Pl)를 Ar/O2 가스로부터 생성한 경우[산소 플라즈마(Pl) 중에서 OH기가 생성되지 않는 경우], 트렌치(T)의 저면측에 있어서도 OH기 Hy의 밀도가 감소하기 쉬워진다. 환언하면, OH기 Hy의 밀도가 감소하는 영역이 저면측으로 확산되기 쉬워진다. 그 결과, 도 12의 (b)에 도시하는 바와 같이, 트렌치(T)의 내면에 성막되는 산화 실리콘막(62)은, 트렌치(T)의 저면측에 있어서도 얇아지는 영역이 깊어진다. 단, 이때, 웨이퍼(W)에 유기 아미노실란 가스가 공급되는 시간도 길어진다. 그로 인해, 제1 처리 영역(P1)에서 공급되는 유기 아미노실란 가스도 트렌치(T)의 저면측으로 확산되기 쉬워진다.
이에 대해 회전 속도를 크게 하면, 웨이퍼(W)가 플라즈마 발생원(80)의 하방의 내부 공간(S)을 단시간에 통과한다. 즉, 웨이퍼(W)가 산소 플라즈마에 노출되는 시간이 짧아진다. 그로 인해, 산소 플라즈마(Pl)가 트렌치(T)의 저면측으로 확산되기 어려워진다. 이로 인해, 도 12의 (c)에 도시하는 바와 같이, 트렌치(T)의 개구에 보다 가까운 부분에 있어서, OH기 Hy가 이탈하여, 그 부분에서의 OH기 Hy의 밀도가 저하된다. 그 결과, 도 12의 (d)에 도시하는 바와 같이, 트렌치(T)의 개구에 보다 가까운 부분에 있어서, 산화 실리콘막(62)이 얇고, 그보다도 하부의 저면측의 부분에서는 막 두께가 비교적 두꺼워진다.
한편, 회전 속도가 커지면, 웨이퍼(W)에 유기 아미노실란 가스가 공급되는 시간이 짧아진다. 그로 인해, 제1 처리 영역(P1)에서 공급되는 유기 아미노실란 가스도 트렌치(T)의 저면측으로 확산되기 어려워지므로, OH기 Hy에 흡착되는 유기 아미노실란 가스의 양이 저하된다. 따라서, 저면측에서의 산화 실리콘막이 얇아진다. 즉, 회전 테이블(2)의 회전 속도에 따라 상반되는 효과가 초래된다.
그러나 한쪽의 효과를 현저하게 발휘시킴으로써, 트렌치(T)의 저면측에서의 산화 실리콘막(62)의 두께를 제어하는 것이 가능해진다. 예를 들어, 유기 아미노실란 가스의 공급량이 충분히 많은 경우에는, 트렌치(T)의 저면측으로 확산되는 유기 아미노실란 가스가 확보되므로, 산화 실리콘막의 막 두께 분포는 OH기의 분포에 지배되어, 트렌치의 저면측에서의 산화 실리콘막을 두껍게 할 수 있다.
(제3 변형예)
또한, 상술한 설명으로부터도 명백한 바와 같이, 반응 가스 노즐(31)로부터의 유기 아미노실란 가스의 공급량을 제어함으로써도 산화 실리콘막의 막 두께 분포를 제어할 수 있다.
도 13의 (a) 및 (b)는, 유기 아미노실란 가스의 공급량이 비교적 많은 예, 도 13의 (c) 및 (d)는, 유기 아미노실란 가스의 공급량이 비교적 적은 예를 도시한다.
예를 들어, 제1 변형예와 같이, 트렌치(T)의 내면에 OH기 Hy를 균일하게 분포시키는 경우에 있어서, 유기 아미노실란 가스의 공급량이 충분할 때에는, 도 13의 (a)에 도시하는 바와 같이, 유기 아미노실란 가스가 트렌치(T)의 저면측까지 확산되어, OH기 Hy의 거의 전부에 흡착될 수 있다. 그 결과, 도 13의 (b)에 도시하는 바와 같이, 트렌치 내의 산화 실리콘막의 막 두께 분포는, 흡착 OH기의 분포를 거의 반영하여 거의 균일해진다.
한편, 트렌치(T)의 내면에 OH기 Hy를 균일하게 분포시키는 경우에 있어서, 유기 아미노실란 가스의 공급량이 충분하지 않을 때에는, 도 13의 (c)에 도시하는 바와 같이, 트렌치(T)의 저면측까지 확산될 수 있는 유기 아미노실란 가스가 부족하다. 그로 인해, 도 13의 (d)에 도시하는 바와 같이, 트렌치(T)의 저면측보다도 개구부 부근에 있어서 산화 실리콘막을 두껍게 할 수 있다.
본 실시 형태의 성막 방법에 따르면, 트렌치(T)의 깊이 방향의 얕은 부분에서 막 두께가 얇고 깊은 부분에서 막 두께가 두꺼워지도록 제어하는 것도, 트렌치(T)의 깊이 방향의 얕은 부분에서 막 두께가 두껍고 깊은 부분에서 막 두께가 얇아지도록 제어하는 것도 자유롭게 실현할 수 있다.
(제4 변형예)
또한, 상술한 성막 방법의 제4 변형예로서, 도 9를 참조하면서 설명한 성막 방법과 제3 변형예의 조합도 생각된다. 즉, 우선, Ar/O2 가스로부터만 생성된 산소 플라즈마로 트렌치(T)의 내면에 흡착되는 OH기 Hy의 분포를 제어함으로써, 트렌치(T)의 저면측에서 두껍고, 개구측에서 얇아지도록 산화 실리콘막(62)을 성막한다. 이에 의해, 소정의 막 두께까지 산화 실리콘막(62)을 성막하면, 도 14의 (a)에 도시하는 막 두께 분포가 얻어진다.
다음에, 예를 들어 Ar/O2 가스에 더하여 수소 함유 가스를 사용하여 생성된 산소 플라즈마로 트렌치(T)의 내면에 OH기 Hy를 균일하게 흡착시키는 동시에, 유기 아미노실란 가스의 공급량을 저하시킴으로써, 트렌치(T)의 저면측에서 얇고, 개구측에서 두꺼워지도록 산화 실리콘막(62)을 성막한다. 이러한 성막만을 행하였다고 하면, 도 14의 (b)에 도시하는 막 두께 분포가 얻어진다.
따라서, 제4 변형예에 있어서는, 도 14의 (a)와 (b)에 도시하는 막 두께 분포가 조합된 도 14의 (c)에 도시하는, 트렌치(T)의 내면에 있어서 거의 균일한 막 두께로 성막된 산화 실리콘막(62)을 얻을 수 있다.
이상 설명한 바와 같이, 본 발명의 실시 형태(제1 내지 제4 변형예를 포함함)에 의한 성막 방법에 따르면, 웨이퍼(W)에 형성된 트렌치의 내면에 흡착되는 OH기의 분포를 제어함으로써 트렌치의 내면에 흡착되는 유기 아미노실란 가스의 분포를 제어할 수 있고, 나아가서는 트렌치의 내면에 성막되는 산화 실리콘막의 막 두께 분포를 제어하는 것이 가능해진다.
OH기의 분포는, 플라즈마 발생원(30)의 안테나(85)에 공급하는 고주파 전력, 가스 도입 노즐(92)로부터 내부 공간(S)에 공급되는 수소 함유 가스의 공급량, 회전 테이블(2)의 회전 속도 등에 의해 제어하는 것이 가능하다. 또한, OH기의 분포를 제어한 후, 유기 아미노실란 가스의 공급량을 제어함으로써, 산화 실리콘막의 막 두께 분포를 더욱 제어하는 것도 가능하다.
또한, 본 발명의 실시 형태(제1 내지 제4 변형예를 포함함)에 따르면, 성막된 산화 실리콘막이 산소 플라즈마에 노출되므로, 유기 아미노실란 가스의 분해에 의해 발생한 산화 실리콘막 중에 도입된 수분이나 유기 불순물을 산소 플라즈마에 의해 제거할 수 있어, 산화 실리콘막을 고밀도로 할 수 있다. 또한, 산소 플라즈마 중의 고에너지 입자의 충격에 의해, 산화 실리콘막 중의 원자가 재배열될 수 있으므로, 막질의 향상이 기대된다.
이상과 같이, 본 실시 형태에 있어서의 성막 방법에 따르면, 이하의 메커니즘에 의해, 트렌치(T)의 내면에 형성되는 산화 실리콘막의 막 두께를 제어할 수 있다.
트렌치(T)가 형성된 웨이퍼(W) 상에 유기 아미노실란 가스(제1 반응 가스)와 O3 가스(제2 반응 가스)를 공급하면, 산화 실리콘막(제1 반응 가스와 반응하는 제2 반응 가스의 반응 생성물에 의한 막)이 생성되는 동시에 그 표면에 OH기(수산기)도 생성된다.
여기서, 다음 사이클에서 유기 아미노실란 가스를 공급하기 전에 산소 플라즈마를 공급하면, 산소 플라즈마에 의해 OH기의 일부가 이탈한다. 이때, 산소 플라즈마는, 트렌치(T)의 저부 부근까지는 도달하기 어렵기 때문에, 트렌치(T)의 저부에서는 OH기의 양이 감소하지 않고, 트렌치(T)의 깊이 방향의 얕은 부분에서 깊은 부분보다도 OH기의 양이 적어지도록 제어된다.
한편, 산소 플라즈마와 함께 수소 함유 가스도 공급하면, 수소 함유 가스 유래의 OH기가 생성되므로, 트렌치(T)의 개구 부근의 OH기의 감소가 억제되어, OH기의 분포가 트렌치의 내면에서 균일화된다.
또한, 웨이퍼(W)의 회전 속도를 빠르게 하면, 산소 플라즈마가 트렌치(T)의 저면측으로 확산되기 어려워져, 트렌치(T)의 깊이 방향의 얕은 부분에서 깊은 부분보다도 OH기의 양이 적어지도록 제어된다.
한편, 웨이퍼(W)의 회전 속도를 느리게 하면, 산소 플라즈마가 트렌치(T)의 저면측까지 깊게 진입하고, 트렌치의 내면의 OH기도 감소한다.
이상과 같이, 산소 플라즈마의 공급 제어(고주파 전력의 제어도 포함함), 수소 함유 가스의 공급 제어(수소 함유 가스의 공급량 제어도 포함함), 또는 웨이퍼(W)의 회전 속도의 제어[웨이퍼(W)가 산소 플라즈마에 노출되는 시간의 제어도 포함함]에 의해, 트렌치(T)의 깊이 방향에 있어서의 OH기의 흡착 분포가 제어된다. 여기서, 유기 아미노실란 가스는, OH기에 흡착되기 쉽다. 그로 인해, 트렌치(T)의 깊이 방향에 있어서의 OH기의 흡착 분포에 따라서, 유기 아미노실란 가스에 의해 생성되는 산화 실리콘막의 막 두께도 제어할 수 있다.
또한, 유기 아미노실란 가스의 공급량 제어나 웨이퍼(W)의 회전 속도의 제어[웨이퍼(W)에 유기 아미노실란 가스가 공급되는 시간의 제어도 포함함]에 의해, 유기 아미노실란 가스에 의해 생성되는 산화 실리콘막의 막 두께를 제어할 수 있다.
다음에, 실시예를 설명한다.
(제1 실시예)
본 실시예에 있어서는, 플라즈마 발생용의 고주파 전력, 수소 함유 가스로서의 암모니아 가스(NH3)의 공급량, 유기 아미노실란 가스의 공급량 및 회전 테이블(2)의 회전 속도가, 실리콘 웨이퍼(직경 300㎜)에 형성된 홀 내에 성막되는 산화 실리콘막의 막 두께 분포에 미치는 영향에 대해 조사하였다.
여기서의 홀(h)은, 도 15에 도시하는 바와 같이, 약 0.22㎛의 내경과 약 8.8㎛의 깊이를 갖는 원기둥 형상을 갖고 있고, 어스펙트비(깊이/내경)는 40이다. 또한, 도 15 중에는, 본 실시예에 있어서 주사형 전자 현미경(SEM)으로 측정한, 산화 실리콘막의 막 두께의 측정 위치를 나타내고 있다. 즉, 실리콘 웨이퍼 표면의 홀(h) 근방(TOP), 홀(h)의 개구 부근의 측면상(TOP 사이드), 홀(h)의 깊이 방향 중간 부근(CTR) 및 홀(h)의 저면 부근의 측면상(BTM)의 4개소에서 산화 실리콘막의 막 두께를 측정하였다. 이하의 설명에 있어서, TOP 사이드, CTR 및 BTM에 있어서의 막 두께는, TOP에 있어서의 막 두께로 규격화되어 있다(TOP에 있어서의 막 두께와 동등한 경우에 100%). 또한, 홀(h) 내에서의 막 두께를 측정할 뿐만 아니라, 실리콘 웨이퍼의 중앙에 있어서의 막 두께를 측정하여, 성막 속도를 구하였다. 또한, 목표 막 두께는, 홀(h)이 매립되지 않을 정도의 막 두께로 조정하였다. 또한, 본 실시예에서는, 상술한 성막 장치(1)를 사용하였다.
(1) 고주파 전력 의존성
도 16의 (a)에, 홀(h) 내에 성막한 산화 실리콘막의 막 두께 분포의 고주파 전력 의존성을 나타낸다. 고주파 전력 이외의 주된 성막 조건은 이하와 같다.
ㆍ반응 가스 노즐(31)로부터의 유기 아미노실란 가스 공급량 : 900sccm
ㆍ반응 가스 노즐(32)로부터의 오존 가스 공급량 : 1.8g/mim
ㆍ회전 테이블(2)의 회전 속도 : 20rpm
ㆍ가스 도입 노즐(92)로부터의 암모니아 가스 공급량 : 0sccm 및 30sccm
ㆍ웨이퍼 온도 : 400℃
또한, 상기한 오존 가스는, 반응 가스 노즐(32)에 접속되는 소정의 오존 발생기에 대해 6 표준 리터/min(slm)의 공급량으로 산소 가스를 공급하고, 오존 발생기에서 300g/Nm3의 농도로 오존을 발생시킴으로써 얻었다.
도 16의 (a)를 참조하면, 가스 도입 노즐(92)로부터 NH3 가스를 공급하지 않고 성막한 경우에 있어서, 플라즈마 발생원(80)에 고주파 전력을 인가하지 않았을 때에는, TOP 사이드(개구 부근의 측면상)에서의 막 두께가 TOP에 있어서의 막 두께보다도 크고, CTR[홀(h)의 깊이 방향 중간 부근] 및 BTM(저면 부근의 측면상)에서의 막 두께는, TOP에 있어서의 막 두께보다도 작은 것을 알 수 있다. 따라서, 이 조건으로 더 성막해 가면, 홀(h)의 개구가 산화 실리콘으로 밀봉되어, 홀(h) 내에 보이드가 형성될 가능성이 있다.
한편, 가스 도입 노즐(92)로부터 NH3 가스를 공급하지 않고 성막한 경우에 있어서, 플라즈마 발생원(80)에 1400W의 고주파 전력을 인가하였을 때에는, TOP 사이드, CTR 및 BTM 중 어느 위치에 있어서도, TOP에 있어서의 막 두께보다도 대폭 두껍게 되어 있는 것을 알 수 있다. 또한, 산화 실리콘막의 성막 속도(도면 중 ×표 참조, 우측 종축)도, 고주파 전력을 인가하지 않은 경우에 비해, 크게 저하되어 있다. 이러한 결과는, 플라즈마 발생원(80)에 의해 생성된 산소 플라즈마에 의해, 성막된 산화 실리콘막에 흡착된 OH기가 제거되어, 유기 아미노실란 가스가 흡착되기 어려워진 것에 의한 것이라 생각된다. 또한, TOP 사이드에 비해 CTR 및 BTM에서의 막 두께가 크게 되어 있는 점은 주목할 가치가 있다. 이것은, TOP이나 TOP 사이드에 있어서, 보다 다량의 OH기가 이탈되어 있기 때문이라고 생각된다.
또한, 가스 도입 노즐(92)로부터 NH3 가스를 30sccm 공급하여 성막한 경우에는, 고주파 전력을 크게 해 가면 성막 속도가 저하되는 것을 알 수 있다. 즉, 산소 플라즈마의 강도가 커짐에 따라서, 성막된 산화 실리콘막에 흡착된 OH기가 제거되어, 유기 아미노실란 가스가 흡착하기 어려워진 것에 의해 성막 속도가 저하되었다고 생각된다. 또한, 고주파 전력이 1400W인 경우에는, TOP 사이드에 비해 CTR 및 BTM에서의 막 두께가 유의하게 커진다고 하는 결과가 얻어져 있다.
(NH3 가스 공급량 의존성)
도 16의 (b)에, 홀(h) 내에 성막된 산화 실리콘막의 막 두께 분포의 NH3 가스 공급량 의존성을 나타낸다. NH3 가스 공급량 이외의 주된 성막 조건은 이하와 같다.
ㆍ반응 가스 노즐(31)로부터의 유기 아미노실란 가스 공급량 : 900sccm
ㆍ반응 가스 노즐(32)로부터의 오존 가스 공급량 : 1.8g/mim
ㆍ회전 테이블(2)의 회전 속도 : 20rpm
ㆍ고주파 전력 : 1400W
ㆍ웨이퍼 온도 : 400℃
도 16의 (b)로부터, NH3 가스 공급량을 증가시켜 가면, TOP에 있어서의 막 두께에 대해, TOP 사이드, CTR 및 BTM에 있어서의 막 두께가 상대적으로 얇게 되어 가는[환언하면, 홀(h) 내에서의 막 두께 분포가 균일화되어 가는] 것을 알 수 있다. 이것은, NH3 가스 공급량의 증가에 수반하여, 플라즈마 발생원(80)에 의해 생성되는 산소 플라즈마 중의 OH기 농도가 증가하여, 특히 TOP에 있어서 산화 실리콘막에 흡착된 OH기의 이탈이 억제되었기 때문이라고 생각된다.
(유기 아미노실란 가스 공급량 의존성)
도 16의 (c)에, 홀(h) 내에 성막된 산화 실리콘막의 막 두께 분포의 유기 아미노실란 가스 공급량 의존성을 나타낸다. 유기 아미노실란 가스 공급량 이외의 주된 성막 조건은 이하와 같다.
ㆍ반응 가스 노즐(32)로부터의 오존 가스 공급량 : 1.8g/mim
ㆍ회전 테이블(2)의 회전 속도 : 20rpm
ㆍ고주파 전력 : 1400W
ㆍNH3 가스 공급량 : 30sccm
ㆍ웨이퍼 온도 : 400℃
도 16의 (c)로부터, 유기 아미노실란 가스의 공급량을 증가시켜 가면, 성막 속도가 증가해 가는 것을 알 수 있다. 또한, 유기 아미노실란 가스의 공급량의 증가에 수반하여, TOP 사이드에서의 막 두께에 비해 CTR이나 BTM에서의 막 두께가 두껍게 되어 가는 것을 알 수 있다. 이것은, 홀(h)의 저면측까지 유기 아미노실란 가스가 충분히 도달한 결과라고 생각할 수 있다.
[회전 테이블(2)의 회전 속도 의존성]
도 16의 (d)에, 홀(h) 내에 성막된 산화 실리콘막의 막 두께 분포에 대한 회전 테이블(2)의 회전 속도 의존성을 나타낸다. 회전 속도 이외의 주된 성막 조건은 이하와 같다.
ㆍ반응 가스 노즐(31)로부터의 유기 아미노실란 가스 공급량 : 900sccm
ㆍ반응 가스 노즐(32)로부터의 오존 가스 공급량 : 1.8g/mim
ㆍ고주파 전력 : 1400W
ㆍNH3 가스 공급량 : 30sccm
ㆍ웨이퍼 온도 : 400℃
도 16의 (d)로부터, 회전 테이블(2)의 회전 속도를 빠르게 해 가면, 성막 속도가 저하되어 가는 것을 알 수 있다. 이것은, 실리콘 웨이퍼가 유기 아미노실란 가스에 노출되는 시간이 짧아져, 유기 아미노실란 가스의 흡착량이 감소하기 때문이라고 생각된다. 또한, 흡착량의 저하는, 홀(h)의 저면측에서 현저하다고 생각되고, 회전 속도의 증대와 함께, TOP 사이드에서의 막 두께에 비해 CTR 및 BTM에서의 막 두께가 상대적으로 두껍게 되어 있다. 즉, 회전 속도의 증대와 함께, 홀(h) 내에 성막되는 산화 실리콘막의 막 두께는, 개구측에서 얇고 저면측에서 두껍다고 하는 분포로부터, 내면 전체에 있어서 균일화된 분포로 변화되어 간다.
이상과 같이, 플라즈마 발생원(30)의 안테나(85)에 공급하는 고주파 전력, 가스 도입 노즐(92)로부터 내부 공간(S)에 공급되는 수소 함유 가스의 공급량, 회전 테이블(2)의 회전 속도 및 유기 아미노실란 가스의 공급량에 의해, 홀 내에 성막되는 산화 실리콘막의 막 두께 분포를 자유롭게 제어할 수 있는 것이 확인되었다. 또한, 고주파 전력, 수소 함유 가스 공급량, 회전 속도 및 유기 아미노실란 가스 공급량을 더 조정한 결과, 도 17에 나타내는 바와 같이, 홀 내의 산화 실리콘막의 막 두께를 거의 동등하게 할 수 있는 것을 알 수 있었다.
(제2 실시예)
본 실시예는, 상술한 제4 변형예에 따라서 행하였다. 즉, 홀의 개구측에서의 막 두께가 두꺼워지는 제1 단계의 성막과, 홀의 저면측에서의 막 두께가 두꺼워지는 제2 단계의 성막을 행하였다. 제1 단계의 성막과, 제2 단계의 성막에 있어서의 성막 조건은 이하와 같다. 또한, 본 실시예에서는, 가스 도입 노즐(92)로부터 공급되는 수소 함유 가스로서 수소(H2) 가스를 사용하였다.
<제1 단계의 성막>
ㆍ반응 가스 노즐(31)로부터의 유기 아미노실란 가스 공급량 : 900sccm
ㆍ반응 가스 노즐(32)로부터의 오존 가스 공급량 : 1.8g/mim
ㆍ가스 도입 노즐(92)로부터의 H2 가스 : 45sccm
ㆍ회전 테이블(2)의 회전 속도 : 20rpm
ㆍ고주파 전력 : 1400W
ㆍ웨이퍼 온도 : 400℃
ㆍ성막 시간 : 16분(TOP에서의 목표 막 두께 32㎚에 대응)
<제2 단계의 성막>
ㆍ반응 가스 노즐(31)로부터의 유기 아미노실란 가스 공급량 : 75sccm
ㆍ반응 가스 노즐(32)로부터의 오존 가스 공급량 : 1.8g/mim
ㆍ가스 도입 노즐(92)로부터의 H2 가스 : 45sccm
ㆍ회전 테이블(2)의 회전 속도 : 240rpm
ㆍ고주파 전력 : 1400W
ㆍ웨이퍼 온도 : 400℃
ㆍ성막 시간 : 3.7분(TOP에서의 목표 막 두께 18㎚에 대응).
도 18은 본 실시예의 결과를 나타내는 SEM 이미지도이다. 각 도면의 하방의 수치는, TOP에서의 막 두께로 규격화된 각 측정 위치에서의 막 두께를 나타내고 있다. 또한, 도 18의 (a)는 제1 단계의 성막만을 행한 결과를 나타내고, 도 18의 (b)는 제1 단계의 성막을 행하지 않고 제2 단계의 성막만을 행한 결과를 나타내고 있다.
제1 단계의 성막에 있어서는, 도 18의 (a)에 나타내는 바와 같이, TOP 사이드, CTR 및 BTM에 있어서의 막 두께가, TOP에 있어서의 막 두께보다도 크게 되어 있고, 또한 TOP 사이드, CTR 및 BTM의 순으로 막 두께가 두껍게 되어 있다(홀의 저면측에서의 막 두께가 두꺼움).
이에 대해, 제2 단계의 성막에 있어서는, 제1 단계의 성막의 경우에 비해, 유기 아미노실란 가스의 공급량을 저감하고, 회전 테이블(2)의 회전 속도를 증대시킨 영향에 의해, 도 18의 (b)에 나타내는 바와 같이, TOP 사이드, CTR 및 BTM의 순으로 막 두께가 얇게 되어 있다(홀의 저면측에서의 막 두께가 얇음).
도 18의 (c)는, 제1 단계의 성막과 제2 단계의 성막을 계속 행한 경우의 결과를 나타내고 있다. 도시한 바와 같이, TOP 사이드, CTR 및 BTM에 있어서의 규격화 막 두께는 98%로부터 102%까지의 범위에 들어 있다. 이것은, TOP(웨이퍼의 표면의 홀 근방)에서의 막 두께와, 홀의 내면의 각 위치(TOP 사이드, CTR 및 BTM)에 있어서의 막 두께가 거의 50㎚로 되어 있는 것을 나타내고 있다. 즉, 상술한 제4 변형예의 성막 방법에 따르면, 홀 내뿐만 아니라 웨이퍼 표면에 있어서의 막 두께를 균일화하는 것도 가능해진다.
이상, 몇 가지의 실시 형태 및 실시예를 참조하면서 본 발명을 설명하였지만, 본 발명은 상술한 실시 형태 및 실시예에 한정되는 일 없이, 첨부한 특허청구범위에 비추어, 다양하게 변형 또는 변경이 가능하다.
예를 들어, 상술한 실시 형태에 있어서, 플라즈마 발생원(80)은, 안테나(85)를 갖는 이른바 유도 결합 플라즈마(ICP)원이지만, 서로 평행하게 연장되는 2개의 로드 전극의 사이에 고주파를 인가함으로써 플라즈마를 발생시키는 용량성 결합 플라즈마(CCP)원이어도 된다. CCP원이어도, 산소 플라즈마를 생성할 수 있으므로, 상술한 효과가 발휘된다.
또한, 반응 가스 노즐(31)로부터 공급되는 Si 함유 가스는, OH기에 흡착될 수 있는 한에 있어서 상술한 유기 아미노실란 가스에 한정되는 일 없이, 유기 규소 화합물 가스여도 된다. 또한, 반응 가스 노즐(32)로부터 공급되는 산화 가스는, O3 가스에 한정되는 일 없이, 예를 들어 O2(산소) 가스 또는 O2와 O3의 혼합 가스를 사용할 수 있다.
또한, OH기에 흡착될 수 있는 한, 반응 가스 노즐(31)로부터 예를 들어 유기 금속 가스를 공급해도 된다. 유기 금속 가스로서, 예를 들어 지르코늄(Zr)을 함유하는 유기 금속 가스를 반응 가스 노즐(31)로부터 공급하고, 반응 가스 노즐(32)로부터 O3 가스 등을 공급함으로써, 산화지르코늄(ZrO)을 성막할 수 있다. 이 경우라도, 트렌치 등의 내면에 성막되는 ZrO의 막 두께를 제어하는 것이 가능하다. 또한, Zr 함유 유기 금속 가스로서는, 예를 들어 테트라키스ㆍ에틸메틸ㆍ아미노지르코늄(TEMAZ)을 적절하게 사용할 수 있다.
또한, 유기 금속 가스로서, 알루미늄(Al)을 함유하는 유기 금속 가스를 사용할 수도 있다. 반응 가스 노즐(31)로부터 Al 함유 유기 금속 가스를 공급하고, 반응 가스 노즐(32)로부터 O3 가스 등을 공급함으로써, 산화알루미늄(AlO)을 성막할 수 있다. Al 함유 유기 금속 가스로서는, 예를 들어 트리메틸알루미늄(TMA)이나 디메틸에틸아민알란을 적절하게 사용할 수 있다. 또한, Zr 함유 유기 금속 가스와 Al 함유 유기 금속 가스를 반응 가스 노즐(31)로부터 상보적으로 공급함으로써, ZrAlO막을 성막하는 것도 가능하다.
또한, 가스 도입 노즐(92)을 통해 플라즈마 발생원(80)의 내부 공간(S)에 공급되는 수소 함유 가스로서는, NH3 가스와 H2 가스의 양쪽을 사용해도 된다. 또한, OH기를 생성할 수 있는 가스인 한, NH3 가스나 H2 가스에 한정되는 일 없이, 예를 들어 H2O(물), H2N-NH2(히드라진), H2O2(과산화수소), 탄화수소 가스 등을 사용해도 된다.
또한, 산소 플라즈마의 효과로서, 성막되는 산화 실리콘막의 고밀도와 고품질화를 언급하였지만, 고밀도화나 고품질화를 위해 산소 플라즈마를 생성하는 플라즈마 발생원을, OH기의 분포 제어를 위해 플라즈마를 생성하는 플라즈마 발생원과 별개로 설치해도 된다. 이 경우, OH기의 분포 제어를 위한 플라즈마 발생원에 있어서는, 흡착된 OH기를 이탈시킬 수 있고, 또한 플라즈마 중에서 OH기를 생성할 수 있으면, 산소 플라즈마를 생성할 필요는 없다.
트렌치뿐만 아니라, 라인 앤드 스페이스에 있어서의 스페이스나, 비아 홀, 트렌치 비아 등의 내면에 성막하는 경우(또는, 이들을 매립하는 경우)에도 적용 가능하다.
본 발명의 실시 형태에 따르면, 기판에 형성되는 오목부에 막을 성막할 때에, 그 막 두께의 분포를 제어하는 것이 가능한 성막 방법이 제공된다.
또한, 본 발명의 실시 형태는, 이하의 형태도 포함한다.
본 발명의 일 형태에 따르면, 제1 반응 가스와, 상기 제1 반응 가스와 반응하는 제2 반응 가스의 반응 생성물을 성막하는 성막 방법이며, 기판의 표면에 형성되는 오목부의 내면에 원하는 분포로 수산기를 흡착시키는 스텝과, 상기 오목부의 내면에 상기 수산기가 흡착된 상기 기판에 대해 상기 제1 반응 가스를 공급하는 스텝과, 상기 오목부의 내면에 흡착된 상기 제1 반응 가스를 상기 제2 반응 가스와 반응시킴으로써 상기 내면에 상기 반응 생성물을 생성하는 스텝을 포함하는 성막 방법이 제공된다.
상기한 수산기의 원하는 분포는, 오목부 내에 성막되는 막의 원하는 막 두께 분포에 따른 분포이며, 그 막 두께 분포를 실현할 수 있도록 제어된다. 예를 들어, 반도체 집적 회로의 제조 프로세스에 있어서, 오목부의 저면측에서 두꺼워지고 개구측에서 얇아지도록 막을 성막함으로써 오목부를 보이드 없이 매립하는 경우에는, 그러한 성막을 실현할 수 있는 분포로 수산기가 흡착된다. 또한, 오목부의 내면의 대략 전체에 있어서 동등한 막 두께를 실현해야 하는 경우에는, 그러한 막 두께 분포를 실현할 수 있는 분포로 수산기가 흡착된다.
또한, 제1 반응 가스와 제2 반응 가스의 반응에 의해 생성되고, 오목부의 내면에 흡착된 수산기를 선택적으로 제거함으로써도, 오목부의 내면에 수산기를 원하는 분포로 흡착시킬 수 있다.
또한, 본 발명의 다른 형태에 따르면, 제1 반응 가스와, 상기 제1 반응 가스와 반응하는 제2 반응 가스의 반응 생성물을 성막하는 성막 방법이며, 기판의 표면에 형성되는 오목부의 내면에, 상기 반응 생성물을 상기 오목부의 저면측에서 두껍게 성막시킬 수 있는 분포로 수산기를 흡착시키는 스텝, 상기 수산기가 흡착된 상기 오목부의 내면에 상기 제1 반응 가스를 공급하는 스텝 및 상기 오목부의 내면에 흡착되는 상기 제1 반응 가스를 상기 제2 반응 가스와 반응시킴으로써 상기 반응 생성물을 생성하는 스텝을 갖는 제1 공정과, 상기 반응 생성물을 상기 오목부의 개구측에서 두껍게 성막시킬 수 있는 분포로 수산기를 흡착시키는 스텝, 상기 수산기가 흡착된 상기 오목부의 내면에 상기 제1 반응 가스를 공급하는 스텝 및 상기 오목부의 내면에 흡착되는 상기 제1 반응 가스를 상기 제2 반응 가스와 반응시킴으로써 상기 반응 생성물을 생성하는 스텝을 갖는 제2 공정을 포함하는 성막 방법이 제공된다.
또한, 상기한 제1 공정의 「제1」과 제2 공정의 「제2」는, 양 공정을 구별하기 위해 사용하고 있고, 이들 공정의 순서를 나타내는 것은 아니다. 즉, 제2 공정을 행하고 나서 제1 공정을 행해도 된다.

Claims (18)

  1. 오목부가 형성된 기판 상에, 수산기에 흡착되기 쉬운 제1 반응 가스와, 당해 제1 반응 가스와 반응하는 제2 반응 가스의 반응 생성물에 의한 막을 성막하는 성막 방법이며,
    상기 기판 상에 제1 반응 가스를 공급하는 스텝과,
    상기 제1 반응 가스가 흡착된 상기 기판 상에 상기 제2 반응 가스를 공급하는 스텝과,
    상기 기판의 상기 오목부의 깊이 방향에 있어서, 상기 반응 생성물에 의한 막이 상기 오목부의 저부 및 저부 부근의 측면에 있어서 상기 오목부의 개구에 비하여 두꺼워지도록 수산기의 흡착 분포를 제어하는 스텝을, 상기 순서대로 1회 이상 포함하고,
    상기 제어하는 스텝은, 상기 수산기가 흡착된 상기 기판을 산소 플라즈마에 노출시키는 스텝을 포함하는, 성막 방법.
  2. 삭제
  3. 제1항에 있어서, 상기 기판을 산소 플라즈마에 노출시키는 스텝에 있어서, 상기 산소 플라즈마는, 수소 함유 가스를 포함하는 가스로부터 생성되는, 성막 방법.
  4. 제3항에 있어서, 상기 기판을 산소 플라즈마에 노출시키는 스텝에 있어서, 상기 수소 함유 가스의 공급량을 제어함으로써, 상기 기판의 상기 오목부의 깊이 방향에 있어서의 상기 수산기의 흡착 분포를 제어하는, 성막 방법.
  5. 제1항에 있어서, 상기 제2 반응 가스는, 상기 제1 반응 가스와 반응하여, 상기 막을 성막하는 동시에 당해 막 상에 수산기를 생성하고,
    상기 기판을 산소 플라즈마에 노출시키는 스텝에 있어서, 상기 제1 반응 가스와 상기 제2 반응 가스의 반응에 의해 생성된 상기 수산기가 흡착된 상기 기판을 산소 플라즈마에 노출시키는, 성막 방법.
  6. 제1항에 있어서, 상기 기판을 산소 플라즈마에 노출시키는 스텝에 있어서, 산소 함유 가스를 공급하는 동시에 소정의 고주파 전력을 공급하는, 성막 방법.
  7. 제6항에 있어서, 상기 기판을 산소 플라즈마에 노출시키는 스텝에 있어서, 상기 소정의 고주파 전력을 제어함으로써, 상기 기판의 상기 오목부의 깊이 방향에 있어서의 상기 수산기의 흡착 분포를 제어하는, 성막 방법.
  8. 제6항에 있어서, 상기 기판은 회전 테이블 상에 배치되고,
    당해 회전 테이블을 회전시킴으로써, 당해 기판을 상기 제1 반응 가스를 공급하는 제1 가스 공급 영역, 상기 제2 반응 가스를 공급하는 제2 가스 공급 영역 및 상기 산소 함유 가스를 공급하는 동시에 상기 소정의 고주파 전력을 공급하는 제3 가스 공급 영역을 이 순서로 통과시키고,
    상기 회전 테이블의 회전 속도를 제어함으로써, 상기 기판의 상기 오목부의 깊이 방향에 있어서의 상기 수산기의 흡착 분포를 제어하는, 성막 방법.
  9. 제1항에 있어서, 상기 기판을 산소 플라즈마에 노출시키는 스텝에 있어서, 상기 기판이 상기 산소 플라즈마에 노출되는 시간을 제어함으로써, 상기 기판의 상기 오목부의 깊이 방향에 있어서의 상기 수산기의 흡착 분포를 제어하는, 성막 방법.
  10. 제1항에 있어서, 상기 제1 반응 가스를 공급하는 스텝에 있어서, 상기 기판에 상기 제1 반응 가스가 공급되는 시간을 제어하는 스텝을 더 포함하는, 성막 방법.
  11. 제1항에 있어서, 상기 제1 반응 가스를 공급하는 스텝에 있어서, 상기 제1 반응 가스의 공급량이 제어되는, 성막 방법.
  12. 제1항에 있어서, 상기 제어하는 스텝에 의해, 상기 기판의 상기 오목부의 깊이 방향의 얕은 부분에서 상기 수산기의 양이 적고, 깊은 부분에서 상기 수산기의 양이 많아지도록 제어하여 상기 제1 반응 가스를 공급하는 스텝과 상기 제2 반응 가스를 공급하는 스텝을 행하는 제1 공정을 포함하는, 성막 방법.
  13. 제12항에 있어서, 상기 제어하는 스텝에 의해, 상기 기판의 상기 오목부의 깊이 방향의 깊은 부분에서도 상기 수산기의 양이 적어지도록 제어하는 동시에, 상기 제1 반응 가스를 공급하는 스텝과 상기 제2 반응 가스를 공급하는 스텝을 행하는 제2 공정을 포함하는, 성막 방법.
  14. 제1항에 있어서, 상기 제1 반응 가스가 유기 아미노계의 가스인, 성막 방법.
  15. 제1항에 있어서, 상기 제1 반응 가스가 유기 아미노실란인, 성막 방법.
  16. 제1항에 있어서, 상기 제2 반응 가스가 산소 함유 가스인, 성막 방법.
  17. 제1항에 있어서, 상기 제2 반응 가스가 오존인, 성막 방법.
  18. 제3항에 있어서, 상기 수소 함유 가스가 암모니아 및 수소 중 어느 한쪽 또는 양쪽인, 성막 방법.
KR1020120153211A 2011-12-27 2012-12-26 성막 방법 KR101595148B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011285850A JP5679581B2 (ja) 2011-12-27 2011-12-27 成膜方法
JPJP-P-2011-285850 2011-12-27

Publications (2)

Publication Number Publication Date
KR20130075695A KR20130075695A (ko) 2013-07-05
KR101595148B1 true KR101595148B1 (ko) 2016-02-17

Family

ID=48654973

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120153211A KR101595148B1 (ko) 2011-12-27 2012-12-26 성막 방법

Country Status (5)

Country Link
US (2) US8835332B2 (ko)
JP (1) JP5679581B2 (ko)
KR (1) KR101595148B1 (ko)
CN (1) CN103184430B (ko)
TW (1) TWI551715B (ko)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
WO2015093389A1 (ja) * 2013-12-18 2015-06-25 文彦 廣瀬 酸化物薄膜の形成方法および装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225842B2 (ja) * 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6587514B2 (ja) 2015-11-11 2019-10-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN108352300B (zh) * 2015-11-13 2022-03-29 应用材料股份有限公司 半导体装置处理方法、系统及设备
JP2017107963A (ja) * 2015-12-09 2017-06-15 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
JP6723135B2 (ja) * 2015-12-25 2020-07-15 東京エレクトロン株式会社 保護膜形成方法
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6800004B2 (ja) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 シリコン窒化膜の形成方法
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6573575B2 (ja) * 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
JP6608332B2 (ja) 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6661487B2 (ja) * 2016-07-13 2020-03-11 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP6767844B2 (ja) * 2016-11-11 2020-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6680190B2 (ja) * 2016-11-14 2020-04-15 東京エレクトロン株式会社 成膜装置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
JP6748779B2 (ja) * 2017-03-27 2020-09-02 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
JP6832808B2 (ja) 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6873007B2 (ja) 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6752976B2 (ja) * 2017-08-22 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10170300B1 (en) * 2017-11-30 2019-01-01 Tokyo Electron Limited Protective film forming method
JP6929209B2 (ja) 2017-12-04 2021-09-01 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP6968011B2 (ja) 2018-03-19 2021-11-17 東京エレクトロン株式会社 成膜方法及び成膜装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200130497A (ko) * 2018-04-09 2020-11-18 도쿄엘렉트론가부시키가이샤 저 커패시턴스 상호연결을 위한 에어 갭을 가진 반도체 디바이스를 형성하는 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN108892395A (zh) * 2018-09-11 2018-11-27 中国工程物理研究院激光聚变研究中心 一种熔石英元件修复方法及熔石英元件
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
JP7175209B2 (ja) 2019-02-01 2022-11-18 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP7118025B2 (ja) 2019-03-29 2022-08-15 東京エレクトロン株式会社 成膜方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
JP7278146B2 (ja) 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
JP7158337B2 (ja) 2019-05-20 2022-10-21 東京エレクトロン株式会社 成膜方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7247813B2 (ja) * 2019-08-20 2023-03-29 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022109090A (ja) 2021-01-14 2022-07-27 東京エレクトロン株式会社 成膜方法及び成膜システム
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023180564A (ja) 2022-06-09 2023-12-21 東京エレクトロン株式会社 プラズマ処理方法、および基板処理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009212303A (ja) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc 基板処理方法
JP2011054742A (ja) * 2009-09-01 2011-03-17 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100520821B1 (ko) * 2003-04-02 2005-10-13 삼성전자주식회사 반도체 소자의 박막 형성방법
EP1616043B1 (en) * 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
JP4624207B2 (ja) 2005-08-03 2011-02-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2007273557A (ja) * 2006-03-30 2007-10-18 Mitsui Eng & Shipbuild Co Ltd 絶縁層の形成方法
JP5171625B2 (ja) * 2006-07-20 2013-03-27 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009212303A (ja) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc 基板処理方法
JP2011054742A (ja) * 2009-09-01 2011-03-17 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置

Also Published As

Publication number Publication date
US20130164942A1 (en) 2013-06-27
US8835332B2 (en) 2014-09-16
TWI551715B (zh) 2016-10-01
JP5679581B2 (ja) 2015-03-04
US9023738B2 (en) 2015-05-05
CN103184430A (zh) 2013-07-03
JP2013135154A (ja) 2013-07-08
US20140349032A1 (en) 2014-11-27
CN103184430B (zh) 2016-04-06
KR20130075695A (ko) 2013-07-05
TW201341576A (zh) 2013-10-16

Similar Documents

Publication Publication Date Title
KR101595148B1 (ko) 성막 방법
US20140011372A1 (en) Film deposition method
JP5602711B2 (ja) 成膜方法及び成膜装置
US11367611B2 (en) Film forming method and film forming apparatus
KR102264572B1 (ko) 성막 방법
KR20170077047A (ko) 보호막 형성 방법
JP7175209B2 (ja) 成膜方法
KR20170132090A (ko) 성막 장치
KR20200133666A (ko) 성막 방법
US10287675B2 (en) Film deposition method
US20220223408A1 (en) Method for depositing film and film deposition system
US11952661B2 (en) Deposition method
JP7278146B2 (ja) 成膜方法
KR101512880B1 (ko) 성막 방법 및 성막 장치
JP7246284B2 (ja) 成膜方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200205

Year of fee payment: 5