JP2011054742A - 成膜装置及び成膜方法 - Google Patents

成膜装置及び成膜方法 Download PDF

Info

Publication number
JP2011054742A
JP2011054742A JP2009202016A JP2009202016A JP2011054742A JP 2011054742 A JP2011054742 A JP 2011054742A JP 2009202016 A JP2009202016 A JP 2009202016A JP 2009202016 A JP2009202016 A JP 2009202016A JP 2011054742 A JP2011054742 A JP 2011054742A
Authority
JP
Japan
Prior art keywords
gas
substrate
reaction
gas supply
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009202016A
Other languages
English (en)
Other versions
JP5444961B2 (ja
Inventor
Hisashi Kato
寿 加藤
Koichi Orito
康一 織戸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009202016A priority Critical patent/JP5444961B2/ja
Priority to US12/871,342 priority patent/US20110048326A1/en
Priority to CN201010272014.0A priority patent/CN102002685B/zh
Priority to KR1020100084692A priority patent/KR101407112B1/ko
Priority to TW099129185A priority patent/TWI452645B/zh
Publication of JP2011054742A publication Critical patent/JP2011054742A/ja
Application granted granted Critical
Publication of JP5444961B2 publication Critical patent/JP5444961B2/ja
Priority to US14/644,703 priority patent/US9580802B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】基板の凹部に対して良好な埋め込みを行うこと。
【解決手段】真空容器1内の回転テーブル2に、凹部230が形成されたウェハWを載置し、当該回転テーブル2上のウェハWを、第1の反応ガス(BTBASガス)が吸着されて凝縮される温度に温度調整し、次いで前記回転テーブル2上のウェハWに反応ガスノズル31からBTBASガスを供給し、当該BTBASガスの凝縮物をウェハWに付着させる。次いで回転テーブル2を回転させてウェハWを分離ガスノズル42の下方領域に位置させ、ウェハWに対して加熱されたNガスを供給して、前記BTBASガスの凝縮物の一部を気化させる。次いで回転テーブル2を回転させてウェハWを第2の反応ガス供給領域に位置させ、プラズマインジェクター250から第2の反応ガスであるOガスを活性化してウェハWに供給することにより、前記凝縮物と反応させて反応生成物を生成する。
【選択図】 図2

Description

本発明は、真空容器内において、互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより、反応生成物の層を積層して薄膜を形成する成膜装置及び成膜方法に関する。
半導体デバイスのパターンの微細化に伴い、パターンである凹部の埋め込に対して良好な特性が要求されている。特にSi基板に素子分離領域を形成するために、トレンチ構造を形成し、そのトレンチ部分を埋め込む技術が各種提案されているが、パターンの微細化に伴い、埋め込み能力と膜質の点において高い質を確保することが難しくなって来ている。現状では、塗布法によるPSZ(ポリシラザン)膜とHDP(高密度プラズマ)法との組み合わせによる酸化膜が採用される場合が多い。しかしながらHDP法などのCVD法では、トレンチ側部からの堆積による膜の合わせ目に空隙が発生し、エッチングレートが高いなどの膜質不良を起こし易いことや、深いトレンチ部への堆積が困難であることが指摘されている。またパターンが微細化すると、レジストマスクの形状のばらつきなどにより、凹部の形状が微妙にばらつき、このため底部に向かうほど広がる逆テーパ形状となる場合がある。アスペクト比が大きいことに加え、凹部が逆テーパ形状である場合には、特に埋め込みが困難である。
特許文献1には、テーブル上にウエハを載置し、ガス供給部に対して相対的に回転させて順次互に異なる反応ガスを供給し、成膜の各サイクル毎にプラズマ、熱処理等の後処理を可能とする装置が開示されている。特許文献2には、埋め込み特性を改善する液相CVDを用いると共に、膜質を改善する為に、プラズマ照射、熱アニールを繰り返す成膜手法が開示されている。また特許文献3には、TEOSガスを基板上で液化させ、次いで加熱下で酸素ガスを供給して酸化膜を成膜する技術が開示されている。
US7153542 特開平8−162449号公報 特開2004−47644号公報
本発明はこのような事情に基づいて行われたものであり、その目的は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成するにあたり、基板の凹部に対して良好な埋め込みを行うことができる成膜装置及び成膜方法を提供することにある。
本発明は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜装置において、
前記真空容器内に設けられ、基板を載置するためのテーブルと、
このテーブル上の基板に第1の反応ガスを供給する第1の反応ガス供給手段と、
前記テーブル上の基板を、第1の反応ガスが吸着されて凝縮される温度に温度調整するための温度調整部と、
基板に吸着された第1の反応ガスの凝縮物の一部を気化させるために基板を加熱する加熱手段と、
基板に吸着されている前記凝縮物と反応して反応生成物を生成するために第2の反応ガスを活性化して基板に供給する第2の反応ガス供給手段と、
前記第1の反応ガス供給手段及び第2の反応ガス供給手段と前記テーブルとを相対的に回転させるための回転機構と、を備え、
前記第1の反応ガス供給手段、加熱手段及び第2の反応ガス供給手段は、前記テーブルの周方向に沿って配置されていることを特徴とする。
他の発明は、真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜方法において、
真空容器内のテーブルに、凹部が形成された基板をほぼ水平に載置する工程と、
前記テーブル上の基板を、第1の反応ガスが吸着されて凝縮される温度に温度調整する工程と、
前記テーブル上の基板に第1の反応ガス供給手段から第1の反応ガスを供給し、第1の反応ガスの凝縮物を基板に付着させる工程と、
前記テーブルと第1の反応ガス供給手段とを相対的に回転させる工程と、
前記基板に吸着された第1の反応ガスの凝縮物の一部を気化させる工程と、
第2の反応ガスを活性化して基板に供給することにより、基板に吸着されている前記凝縮物と反応して反応生成物を生成する工程と、を含むことを特徴とする。
本発明は、第1の反応ガスの凝縮物(液化したガス)を基板に付着させるために、基板上の凹部の側壁や凹部以外の表面に比べて底部に凝縮物が溜まる。このため基板を加熱することにより凝縮物を気化すると、底部に凝縮物が残るため、その後に第2の反応ガスを供給して反応物を生成するまでの一連の工程をサイクリックに行うことにより、凹部の底部から反応物が積層されていくため、良好な埋め込みを行うことができる。
本発明の実施の形態に係る成膜装置の縦断面図である。 上記の成膜装置の横断平面図である。 上記の成膜装置における処理領域及び分離領域を示す縦断面図である。 上記の成膜装置の横断面の拡大図である。 上記の成膜装置におけるプラズマインジェクターの一例を示す斜視図である。 上記のプラズマインジェクターを示す縦断面図である。 上記の成膜装置の横断面の拡大図である。 上記の成膜装置の横断面の拡大図である。 上記の成膜装置におけるパージガスの流れを示す模式図である。 上記の成膜装置の一部破断斜視図である。 上記の成膜装置において成膜処理が行われる基板の縦断面を示す模式図である。 上記の成膜装置において基板に対して成膜処理が行われていく様子を示す模式図である。 上記の成膜装置において基板に対して成膜処理が行われていく様子を示す模式図である。 上記の成膜装置において基板に対して成膜処理が行われていく様子を示す模式図である。 上記の成膜装置におけるガスの流れを示す模式図である。 上記の成膜装置の他の実施の形態を示す平面図である。 上記の他の実施の形態におけるオゾン活性化インジェクターの一例を示す縦断断面図と横断断面図である。 上記の成膜装置の他の例を示す平面図である。
[第1の実施の形態]
本発明の第1の実施の形態である成膜装置は、図1及び図2に示すように平面形状が概ね円形である扁平な真空容器1と、この真空容器1内に設けられ、当該真空容器1の中心に回転中心を有する回転テーブル2と、を備えている。回転テーブル2は本発明のテーブルをなすものである。真空容器1は、この回転テーブル2を収納する概略カップ型の容器本体12と、この容器本体12の上面の開口部を気密に塞ぐように円板状に形成された天板11と、を備えている。この天板11は、容器本体12の上面の周縁部にリング状に設けられたシール部材例えばOリング13を介して容器本体12側に気密に接続されており、図示しない開閉機構により昇降して開閉されるように構成されている。
回転テーブル2は、中心部にて円筒形状のコア部21に固定されており、このコア部21は、鉛直方向に伸びる回転軸22の上端に固定されている。この回転軸22は、真空容器1の底面部14を貫通し、その下端が当該回転軸22を鉛直軸回りにこの例では時計回りに回転させる回転機構である駆動部23に取り付けられている。回転軸22及び駆動部23は、上面が開口した筒状のケース体20内に収納されている。このケース体20はその上面に設けられたフランジ部分が真空容器1の底面部14の下面に気密に取り付けられており、ケース体20の内部雰囲気と外部雰囲気との気密状態が維持されている。
回転テーブル2の表面部には、図2に示すように回転方向(周方向)に沿って複数枚例えば5枚の基板である半導体ウェハ(以下「ウェハ」という)Wを載置するための円形状の凹部24が設けられており、この凹部24は回転テーブル2の回転により当該回転テーブル2の回転中心を中心として鉛直軸回りに公転するように構成されている。凹部24の底面には、ウエハWの裏面を支えて当該ウエハWを昇降させるための例えば後述する3本の昇降ピン16(図10参照)が貫通する貫通孔(図示せず)が形成されている。ここで図3は、回転テーブル2を同心円に沿って切断しかつ横に展開して示す展開図である。
図2〜図4に示すように、回転テーブル2における凹部24の通過領域と各々対向する上位置には、例えば石英からなる反応ガスノズル31と、2本の分離ガスノズル41、42と、補助ガスノズル200と、が真空容器1の周方向(回転テーブル2の回転方向)に互いに間隔をおいて配置されている。この例では、後述の搬送口15から見て時計回り(回転テーブル2の回転方向)に第1の分離ガスノズル41、反応ガスノズル31、第2の分離ガスノズル42及び補助ガスノズル200がこの順番で配列されており、これらのノズル41、31、42、200は真空容器1の側壁において、この搬送口15に概略対向する位置から当該搬送口15の前記回転方向上流側に近接する位置まで順番に取り付けられている。これら反応ガスノズル31、補助ガスノズル200及び分離ガスノズル41、42は、例えば真空容器1の外周壁から回転テーブル2の回転中心に向かってウェハWに対向して水平に伸びるようにライン状に取り付けられており、その基端部であるガス導入ポート31a、200a、41a、42aは当該外周壁を貫通している。
これら反応ガスノズル31及び補助ガスノズル200は、夫々第1の反応ガス供給手段及び補助ガス供給手段をなし、分離ガスノズル41、42は、分離ガス供給手段をなしている。これらのノズル31、200、41、42は、真空容器1の側壁の複数箇所に形成された貫通孔100に取り付けられている。尚、ノズル31、200、41、42が取り付けられていない貫通孔100は、図示しない覆い部材により気密に塞がれている。
反応ガスノズル31には、図示しないバルブや流量調整部が介設されたガス供給管31bにより、第1の反応ガス例えばBTBAS(ビスターシャルブチルアミノシラン)ガスが供給されるように構成されている。補助ガスノズル200には、図示しないバルブや流量調整部が介設されたガス供給管200bにより、補助ガスが供給されるように構成されている。この補助ガスとは、後述するように、ウェハWに吸着された反応ガス(BTBASガス)の凝縮物を、この凝縮物よりも気化しにくい中間生成物とするために供給されるガスである。前記気化しにくい中間生成物は、水酸基(OH基)及び/又は水分を含む生成物であり、補助ガスとしては、例えば水酸基(OH基)を持つガス例えばアルコール(R−OH、R:アルキル基)又は純水(HO)あるいは過酸化水素水(H)が用いられる。この例では補助ガスとしてエタノール(COH)ガスが供給されるように構成されている。
また、第1の分離ガスノズル41は、図示しないバルブや流量調整部が介設されたガス供給管41bにより、分離ガスであるNガス(窒素ガス)が供給されるように構成されている。前記第2の分離ガスノズル42は、バルブ42cや流量調整部42dを備えたガス供給管42bにより、分離ガスであるNガスの供給源42eに接続されている。また前記ガス供給管42bは加熱部42fを備えており、前記Nガスは当該加熱部42fにて、所定温度に加熱されて、第2の分離ガスノズル42に供給されるように構成されている。従って第2の分離ガスノズル42(第2の分離ガス供給手段)は、後述するように、ウェハWに吸着された反応ガス(BTBASガス)の凝縮物の一部を気化させるために、ウェハWを加熱する加熱手段を構成することになる。ここでウェハWに吸着された前記凝縮物の一部を気化させるためには、ウェハWを例えば85℃〜150℃に加熱することが好ましく、このため真空容器1内には、加熱部42fにて100℃〜200℃に加熱されたNガスが第2の分離ガスノズル42から供給されるようになっている。
またこの例では、反応ガスノズル31のガス供給管31bにも図示しない加熱部が設けられており、第1の反応ガスであるBTBASガスは、前記加熱部により加熱されて、回転テーブル2に載置されたウェハWの温度よりも高い温度で真空容器1内にガス状態で供給される。
前記反応ガスノズル31には、図3及び図4に示すように、下方側に反応ガスを吐出するための例えば口径が0.5mmのガス吐出孔33が真下を向いてノズルの長さ方向(回転テーブル2の半径方向)に亘って例えば10mmの間隔を置いて等間隔に配列されている。また、補助ガスノズル200には、下方側に反応ガスを吐出するための例えば口径が0.5mmのガス吐出孔201が真下を向いてノズルの長さ方向(回転テーブル2の半径方向)に亘って例えば10mmの間隔を置いて等間隔に配列されている。分離ガスノズル41,42には、下方側に分離ガスを吐出するための例えば口径が0.5mmのガス吐出孔40が真下を向いて長さ方向に例えば10mm程度の間隔を置いて等間隔に穿設されている。
反応ガスノズル31のガス吐出孔33とウェハWとの間の距離は例えば1〜4mm好ましくは2mmであり、補助ガスノズル200のガス吐出孔201とウェハWとの間の距離は例えば1〜4mm好ましくは2mmである。また、分離ガスノズル41,42のガス吐出孔40とウェハWとの間の距離は例えば1〜4mm好ましくは3mmである。反応ガスノズル31の下方領域は、BTBASガスをウェハWに吸着させるための第1の処理領域91(第1の反応ガスの供給領域)となり、補助ガスノズル200の下方領域は、エタノールガスとウェハW上に凝縮したBTBASとを反応させて前記中間生成物を生成させるための補助領域90(補助ガス供給領域)となる。また第2の分離ガスノズル42の下方領域は加熱領域となる。
また、回転テーブル2の回転方向において、補助ガスノズル200と、第1の分離ガスノズル41との間には、プラズマインジェクター250と、加熱ランプ210とが、回転方向下流側に向かってこの順序で設けられている。
前記プラズマインジェクター250は、第2の反応ガスを活性化してウェハWに供給する第2の反応ガス供給手段をなすものであり、当該プラズマインジェクター250の下方領域は、第2の反応ガスである酸素ガス(O)ガスをウェハWに対して供給するための第2の処理領域92(第2の反応ガスの供給領域)となる。このプラズマインジェクター250は、回転テーブル2の半径方向に沿って伸びるように配置された筐体からなるインジェクター本体251を備えている。このインジェクター本体251内には、図5及び図6に示すように、隔壁252によって長さ方向に区画された幅の異なる2つの空間が形成されていて、一方側は前記第2の反応ガスをプラズマ化(活性化)するためのガス活性化用流路であるガス活性化室253、他方側はこのガス活性化室253へプラズマ発生用のガスを供給するためのガス導入用流路であるガス導入室254となっている。
図2、図5及び図6において、255はガス導入ノズル、256はガス孔、257はガス導入ポート、258は継手部、259はガス供給ポートである。そしてプラズマ発生用のガスは、ガス導入ノズル255のガス孔256からガス導入室254内に供給され、前記ガスは隔壁252の上部に形成された切り欠き部271を介してガス活性化室253に通流するように構成されている。ガス活性化室253内には、2本の誘電体からなる例えばセラミックス製のシース管272、272が当該ガス活性化室253の基端側から先端側へ向けて隔壁252に沿って伸び出しており、これらのシース管272、272の管内には、棒状の電極273、273が貫挿されている。これらの電極273、273の基端側はインジェクター本体251の外部に引き出され、真空容器1の外部にて整合器274を介して高周波電源275と接続されている。インジェクター本体251の底面には、当該電極273、273の間の領域であるプラズマ発生部290にてプラズマ化して活性化されたプラズマを下方側に吐出するためのガス吐出孔291がインジェクター本体251の長さ方向に配列されている。このインジェクター本体251は、その先端側が回転テーブル2の中心部へ向けて伸び出した状態となるように配設されている。図2中261はガス導入ノズル255に第2の反応ガス例えば酸素(O2)ガスを導入するためのガス導入路、262はバルブ、263は流量調整部、264は前記O2ガスが貯留されたガス源である。この例では、第2の反応ガスがプラズマ発生用のガスを兼用している。
また前記加熱ランプ210はアニール用加熱部をなすものであり、回転テーブル2の半径方向に沿って伸びるように配置されている。この加熱ランプ210は、例えば棒状の赤外線ランプからなり、図7に示すように、真空容器1の天井部に回転テーブル2の半径方向に伸びるように形成されたランプハウス211内に設けられている。このランプハウス211は、上部側にリフレクター215が設けられ、下面側には当該ランプハウス211内の雰囲気と真空容器1内の雰囲気とを気密に区画するための光透過窓212が設けられている。この加熱ランプ210の両端には、電極部を兼用する封止部材213、213が設けられており、この封止部材213、213には例えば真空容器1の天板11の上方側から伸びる給電線214、214が各々接続されている。この図7中217はこの加熱ランプ210に給電線214、214及び封止部材213、213を介して給電するための電源であり、216はこの加熱ランプ210を両側から支持する支持部材である。また、この加熱ランプ210は、図示しない熱電対などの温度検出部の測定結果に基づいて、ウェハWに対して後述の加熱処理(緻密化処理)を行うのに好適な温度例えば100℃〜450℃好ましくは350℃に加熱できるように制御されている。
第1及び第2の分離ガスノズル41,42の説明に戻ると、第1の分離ガスノズル41は、図2に示すように、前記第1の処理領域91の回転方向の上流側において当該第1の処理領域91と第2の処理領域92及び補助領域90とを分離するための第1の分離領域D1を形成するためのものである。また第2の分離ガスノズル42は、前記第1の処理領域91の回転方向の下流側において、当該第1の処理領域91と補助領域90及び第2の処理領域92とを分離するための第2の分離領域D2を形成するためのものである。
これら分離領域D1,D2における真空容器1の天板11には図2、図3に示すように、回転テーブル2の回転中心を中心としかつ真空容器1の内周壁の近傍に沿って描かれる円を周方向に分割してなる、平面形状が扇型で下方に突出した凸状部4が設けられている。分離ガスノズル41,42は、この凸状部4における前記円の周方向中央にて当該円の半径方向に伸びるように形成された溝部43内に収められている。即ち分離ガスノズル41(42)の中心軸から凸状部4である扇型の両縁(回転テーブル2の回転方向上流側の縁及び下流側の縁)までの距離は同じ長さに設定されている。なお溝部43は、本実施形態では凸状部4を二等分するように形成されているが、他の実施形態においては、例えば溝部43から見て凸状部4における回転テーブル2の回転方向上流側が前記回転方向下流側よりも広くなるように溝部43を形成してもよい。
従って分離ガスノズル41,42における前記回転方向両側には、前記凸状部4の下面である例えば平坦な低い天井面44(第1の天井面)が存在し、この天井面44の前記回転方向両側には、当該天井面44よりも高い天井面45(第2の天井面)が存在することになる。この凸状部4の役割は、回転テーブル2との間に反応ガス及び補助ガスの侵入を阻止してこれらガスの混合を阻止するための狭隘な空間である分離空間を形成することにある。
即ち、第1の分離ガスノズル41を例にとると、回転テーブル2の回転方向上流側からエタノールガス及びOガスが侵入することを阻止し、また回転方向下流側からBTBASガスが侵入することを阻止する。「ガスの侵入を阻止する」とは、分離ガスノズル41から吐出した分離ガスであるNガスが第1の天井面44と回転テーブル2の表面との間に拡散して、この例では当該第1の天井面44に隣接する第2の天井面45の下方側空間に吹き出し、これにより当該隣接空間からのガスが侵入できなくなることを意味する。そして「ガスが侵入できなくなる」とは、例えば分離領域D2で言えば隣接空間から凸状部4の下方側空間に全く入り込むことができない場合のみを意味するのではなく、多少侵入はするが、両側から夫々侵入したエタノールガスとOガスが、BTBASガスと凸状部4内で交じり合わない状態が確保される場合も意味し、このような作用が得られる限り、分離領域D1(D2)の役割である第1の処理領域91の雰囲気及び第2の処理領域92の雰囲気(補助領域90の雰囲気)との分離作用が発揮できる。従って狭隘な空間における狭隘の程度は、狭隘な空間(凸状部4の下方空間)と当該空間に隣接した領域(この例では第2の天井面45の下方空間)との圧力差が「ガスが侵入できなくなる」作用を確保できる程度の大きさになるように設定され、その具体的な寸法は凸状部4の面積などにより異なるといえる。またウェハWに吸着又は凝縮したガスについては当然に分離領域D1(D2)内を通過することができ、ガスの侵入阻止は、気相中のガスを意味している。
なお分離ガスとしては、窒素(N)ガスに限られずアルゴン(Ar)ガス、ヘリウム(He)ガスなどの不活性ガスなどを用いることができるが、このようなガスに限らず水素(H)ガスなどであってもよく、成膜処理に影響を与えないガスであれば、ガスの種類に関しては特に限定されるものではない。この際第2の分離ガスノズル42から、加熱したArガスなどの不活性ガスや、Hガスを供給して、ウェハWに吸着されたBTBASガスの凝縮物の一部を気化するようにしても、成膜処理に悪影響を与えるものではない。
一方天板11の下面には、回転テーブル2におけるコア部21よりも外周側の部位と対向するようにかつ当該コア部21の外周に沿って突出部5が設けられている。この突出部5は凸状部4における回転テーブル2の回転中心側の部位と連続して形成されており、その下面が凸状部4の下面(天井面44)と同じ高さに形成されている。図2及び図2は、前記天井面45よりも低くかつ分離ガスノズル41、42よりも高い位置にて天板11を水平に切断して示している。なお突出部5と凸状部4とは、必ずしも一体であることに限られるものではなく、別体であってもよい。
真空容器1の天板11の下面、つまり回転テーブル2のウェハ載置領域(凹部24)から見た天井面は既述のように第1の天井面44とこの天井面44よりも高い第2の天井面45とが周方向に存在するが、図1では、高い天井面45が設けられている領域についての縦断面を示しており、図8では、低い天井面44が設けられている領域についての縦断面を示している。扇型の凸状部4の周縁部(真空容器1の外縁側の部位)は図2及び図8に示されているように回転テーブル2の外端面に対向するようにL字型に屈曲して屈曲部46を形成している。扇型の凸状部4は天板11側に設けられていて、容器本体12から取り外せるようになっていることから、前記屈曲部46の外周面と容器本体12との間には僅かに隙間がある。この屈曲部46も凸状部4と同様に両側からBTBASガス、エタノールガス及びOガスが侵入することを防止して、これらガスの混合を防止する目的で設けられており、屈曲部46の内周面と回転テーブル2の外端面との隙間、及び屈曲部46の外周面と容器本体12との隙間は、回転テーブル2の表面に対する天井面44の高さhと同様の寸法に設定されている。この例においては、回転テーブル2の表面側領域からは、屈曲部46の内周面が真空容器1の内周壁を構成していると見ることができる。
容器本体12の内周壁は、分離領域D1,D2においては図8に示すように前記屈曲部46の外周面と接近して垂直面に形成されているが、分離領域D1,D2以外の部位においては、図1に示すように例えば回転テーブル2の外端面と対向する部位から底面部14に亘って縦断面形状が矩形に切り欠かれて外方側に窪んだ構造になっている。この窪んだ部位における既述の第1の処理領域91及び第2の処理領域92に連通する領域を夫々第1の排気領域E1及び第2の排気領域E2と呼ぶことにすると、これらの第1の排気領域E1及び第2の排気領域E2の底部には、図1及び図2に示すように、夫々第1の排気口61及び第2の排気口62が形成されている。第1の排気口61及び第2の排気口62は、既述の図1に示すように、バルブ65が介設された排気路63を介して真空排気手段である例えば真空ポンプ64に接続されている。
これらの排気口61、62は、分離領域D1,D2の分離作用が確実に働くように、平面で見たときに前記分離領域D1,D2の前記回転方向両側に設けられている。詳しく言えば、回転テーブル2の回転中心から見て第1の処理領域91とこの第1の処理領域91に対して例えば回転方向下流側に隣接する第2の分離領域D2との間に第1の排気口61が形成され、回転テーブル2の回転中心から見て第2の処理領域92とこの処理領域92に対して例えば回転方向下流側に隣接する第1の分離領域D1との間に第2の排気口62が形成されている。この排気口61は第1の反応ガスであるBTBASガスの排気を専用に行うように、また排気口62は第2の反応ガスであるOガス及びエタノールガスの排気を専用に行うようにその位置が設定されている。
この例では一方の排気口61は、反応ガスノズル31と第2の分離領域D2の反応ガスノズル31側の縁の延長線との間に設けられ、また他方の排気口62は、プラズマインジェクター250と第1の分離領域D1のプラズマインジェクター250側の縁の延長線との間に設けられている。即ち、第1の排気口61は、図2中に一点鎖線で示した回転テーブル2の中心と第1の処理領域91とを通る直線L1と、回転テーブル2の中心と前記第1の第1の処理領域91の下流側に隣接する分離領域D2の上流側の縁を通る直線L2との間に設けられ、第2の排気口62は、この図2に二点鎖線で示した回転テーブル2の中心と第2の処理領域92とを通る直線L3と、回転テーブル2の中心と前記処理領域92の下流側に隣接する分離領域D1の上流側の縁を通る直線L4との間に位置している。
ここで上述の例では、補助領域90におけるエタノールガスと第2の処理領域92におけるOガスとの間には分離領域Dが設けられていないので、これらは排気口62に至るまでに互いに混じり合うが、成膜特性に悪影響を及ぼすことはない。
尚、排気口の設置数は2個に限られるものではなく、例えば第2の分離領域D2と補助ガスノズル200と間に更に排気口を設置して3個としてもよいし、補助ガスノズル200と、プラズマインジェクター250との間の領域に排気口を設けても良い。更に、補助ガスノズル200とプラズマインジェクター250との間の領域に分離領域Dを形成しても良い。また、排気口の設置数は4個以上であってもよい。この例では排気口61、62は回転テーブル2よりも低い位置に設けることで真空容器1の内周壁と回転テーブル2の周縁との間の隙間から排気するようにしているが、真空容器1の底面部に設けることに限られず、真空容器1の側壁に設けてもよい。また排気口61、62は、真空容器1の側壁に設ける場合には、回転テーブル2よりも高い位置に設けるようにしてもよい。このように排気口61、62を設けることにより回転テーブル2上のガスは、回転テーブル2の外側に向けて流れるため、回転テーブル2に対向する天井面から排気する場合に比べてパーティクルの巻上げが抑えられるという観点において有利である。
前記回転テーブル2と真空容器1の底面部14との間の空間には、図9に示すようにヒータユニット7が設けられており、回転テーブル2を介して回転テーブル2上のウェハWをプロセスレシピで決められた温度に加熱するように構成されている。このヒータユニット7は、前記ウェハWを第1の反応ガス(BTBASガス)が吸着されて凝縮される温度に温度調整するための温度調整部をなすものである。回転テーブル2の周縁付近の下方側には、回転テーブル2の上方空間から排気領域Eに至るまでの雰囲気とヒータユニット7が置かれている雰囲気とを区画するために、ヒータユニット7を全周に亘って囲むようにカバー部材71が設けられている。このカバー部材71は上縁が外側に屈曲されてフランジ形状に形成され、その屈曲面と回転テーブル2の下面との間の隙間を小さくして、カバー部材71内に外方からガスが侵入することを抑えている。
ヒータユニット7が配置されている空間よりも回転中心寄りの部位における底面部14は、回転テーブル2の下面の中心部付近、コア部21に接近してその間は狭い空間になっており、また当該底面部14を貫通する回転軸22の貫通穴についてもその内周面と回転軸22との隙間が狭くなっていて、これら狭い空間は前記ケース体20内に連通している。そして前記ケース体20にはパージガスであるNガスを前記狭い空間内に供給してパージするためのパージガス供給管72が設けられている。また真空容器1の底面部14には、ヒータユニット7の下方側位置にて周方向の複数部位に、ヒータユニット7の配置空間をパージするためのパージガス供給管73が設けられている。
このようにパージガス供給管72、73を設けることにより図10にパージガスの流れを矢印で示すように、ケース体20内からヒータユニット7の配置空間に至るまでの空間がNガスでパージされ、このパージガスが回転テーブル2とカバー部材71との間の隙間から排気領域Eを介して排気口61、62に排気される。これによって既述の第1の処理領域91と第2の処理領域92との一方から回転テーブル2の下方を介して他方へのBTBASガスあるいはOガス及びエタノールガスの回り込みが防止されるため、このパージガスは分離ガスの役割も果たしている。
また真空容器1の天板11の中心部には分離ガス供給管51が接続されていて、天板11とコア部21との間の空間52に分離ガスであるNガスを供給するように構成されている。この空間52に供給された分離ガスは、前記突出部5と回転テーブル2との間の狭い隙間50を介して回転テーブル2のウェハ載置領域側の表面に沿って周縁に向けて吐出されることになる。この突出部5で囲まれる空間には分離ガスが満たされているので、第1の処理領域91と第2の処理領域92との間で回転テーブル2の中心部を介してBTBASガスとOガス及びエタノールガスとが混合することを防止している。即ち、この成膜装置は、第1の処理領域91の雰囲気と第2の処理領域92及び補助領域90の雰囲気とを分離するために回転テーブル2の回転中心部と真空容器1とにより区画され、分離ガスがパージされると共に当該回転テーブル2の表面に分離ガスを吐出する吐出口が前記回転方向に沿って形成された中心部領域Cを備えているということができる。なおここでいう吐出口は前記突出部5と回転テーブル2との狭い隙間50に相当する。
更に真空容器1の側壁には図2、図2及び図10に示すように外部の搬送アーム10と回転テーブル2との間でウェハWの受け渡しを行うための搬送口15が形成されており、この搬送口15は図示しないゲートバルブにより開閉されるようになっている。また回転テーブル2におけるウェハ載置領域である凹部24はこの搬送口15に臨む位置にて搬送アーム10との間でウェハWの受け渡しが行われることから、回転テーブル2の下方側において当該受け渡し位置に対応する部位に、凹部24を貫通してウェハWを裏面から持ち上げるための受け渡し用の昇降ピン16の昇降機構(図示せず)が設けられる。
また、この成膜装置は、既述の図1に示すように、装置全体の動作のコントロールを行うためのコンピュータからなる制御部80を備えている。この制御部80は、CPU、メモリ及び処理プログラムを備えている。このメモリには、ノズル31、200、41、42から供給されるBTBASガス、エタノールガス及びNガスの流量や、真空容器1内の処理圧力、加熱部42f、ヒータユニット7及び、プラズマインジェクター250、加熱ランプ210に供給される電流値(ウェハWの加熱温度やNガスの供給温度)などの処理条件が書き込まれる領域がレシピ毎に設けられている。上記の処理プログラムは、このメモリに書き込まれたレシピを読み出し、レシピに合わせて成膜装置の各部に制御信号を送り、後述の各ステップを進行させることでウェハWの処理を行うように命令が組み込まれている。このプログラムは、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体である記憶部85から制御部80内にインストールされる。
次に上述の第1の実施の形態の作用について、図11〜図14を参照して説明する。先ず、この成膜装置にて薄膜を成膜するウェハWについて説明すると、このウェハWの表面には、例えば溝状の凹部230が複数本平行に形成されており、図11では凹部230の形成されたウェハWの表面部の一部を断面で示してある。この凹部230のアスペクト比は、3〜50程度である。この凹部(パターン)230は、例えばSTI(Shallow Trench Isolation)構造を形成するためのものであり、実際には例えばSi基板上に窒化シリコン化合物等からなる絶縁膜がその内部に形成されている。また、このパターンは例えばウェハWの上層に積層されたマスク層を用いて例えばフォトリソグラフィ工程などにより形成されているので、この凹部230には、フォトリソグラフィ工程における処理の誤差などにより、下端側の開口寸法よりも上端側の開口寸法が広くなるテーパ部233や、下端側の開口寸法よりも上端側の開口寸法が狭くなる逆テーパ部234が形成されている場合がある。図11では、このような凹部230の形状のばらつきについては誇張して記載してある。
次に、このウェハWに対する成膜処理について、以下に説明する。先ず、図示しないゲートバルブを開き、成膜装置の外部から搬送アーム10により搬送口15を介してウェハWを回転テーブル2の凹部24内に受け渡す。この受け渡しは、凹部24が搬送口15に臨む位置に停止したときに、搬送アーム10によりウェハWを昇降ピン16の上方位置に搬入し、次いで昇降ピン16が上昇してこのウェハWを受け取ることにより行われる。そして、搬送アーム10が真空容器1の外部に退避すると共に、昇降ピン16を下降させて凹部24内にウェハWを収納する。このようなウェハWの受け渡しを回転テーブル2を間欠的に回転させて行い、回転テーブル2の5つの凹部24内に夫々ウェハWを載置する。続いて、回転テーブル2を所定の回転数例えば1rpm〜240rpmで時計回りに回転させて、バルブ65を全開にして真空容器1内を真空引きすると共に、ヒータユニット7によりウェハWを設定温度に温度調整する。この設定温度は、第1の反応ガス(BTBASガス)が凝縮する温度以下の温度である。ここでBTBASガスは、1Torr〜8Torr程度の圧力に真空引きされた真空容器1内では、50℃〜100℃程度の温度で凝縮し、液化する。従ってこの例では、前記ウェハWは、このBTBASガスの凝縮温度以下の温度例えば50℃〜100℃程度に温度調整される。
一方、プラズマインジェクター250に対してOガスを例えば3000sccmの流量で供給すると共に、高周波電源275からプラズマ発生部290(電極273、273)に高周波電力を供給する。これにより、真空容器1内は真空雰囲気となっているので、ガス活性化室253の上方部へ流入したOガスは上記の高周波電力によりプラズマ化(活性化)された状態となってガス吐出孔291を介してウェハWに向けて供給される。また、その下方を通過するウェハWの最表面のみが例えば350℃以上に加熱されるように、加熱ランプ210に給電する。
次いで、真空容器1内が所定の真空度となるようにバルブ65の開度を調整して、反応ガスノズル31から真空容器1内に、例えば100℃〜150℃に加熱されたBTBASガスを例えば200sccmの流量で供給すると共に、補助ノズル200から真空容器1内に、エタノールガスを所定の流量例えば100sccmで供給する。また、分離ガスノズル41、42から例えば夫々10slm、10slmで真空容器1内にNガスを供給すると共に、分離ガス供給管51及びパージガス供給管72からも所定の流量でNガスを中心部領域C及び既述の狭い空間内に供給する。このとき第2の分離ガスノズル42からは、加熱部42fにより、前記BTBASガスの凝縮物の一部を気化させる温度例えば100℃〜200℃程度に加熱したNガスを供給する。なお第1の分離ガスノズル41からは、例えば常温のNガスが供給される。
こうして第1の処理領域91を通過するときに、ウェハWは既述の設定温度50℃〜100℃に保たれている。ここに反応ガスノズル31から、ウエハ温度よりも高い100℃〜150℃に加熱されたBTBASガスを供給すると、ウェハ表面は、BTBASガスの凝縮温度以下であることから、ウェハW表面に接触したBTBASガスは、当該ウェハW表面により冷却され、凝縮する(凝縮ステップ、図12参照)。この際、図13(a)に示すように、凹部230の表面や側壁においてもBTBASガスは吸着して凝縮されるが、液化したBTBAS235は、重力により表面や側壁から下方側に移動しやすい。これにより凹部230においては、その表面や側壁よりも底部に液化したBTBAS235がより多く凝縮している状態になる。
続いてウェハWは第2の分離ガスノズル42の下方側を通過していき、このときウェハW表面に対して、100℃〜200℃に加熱されたNガス(HOT Nガス)が供給される。ウェハW表面で凝縮されたBTBAS235は、加熱されたNガスが供給されると、前記液状のBTBAS、即ちBTBASの凝縮物の一部が加熱され気化していく(気化ステップ)。
ここで凹部230においては、既述のように、液化したBTBAS235の凝縮物は他の部位に比べて底部の方が多いので、Nガスによる加熱により、表面や側壁に凝縮したBTBAS235は気化して除去されていくが、図13(b)に示すように、前記底部には気化されずに凝縮したBTBAS235が残存することになる。これはいわば凹部230の底部に、凝縮したBTBAS235を選択的に堆積させることになる。
この気化ステップにおいて、既述のように、例えば凹部230以外の表面及び凹部230の側壁に付着しているBTBAS(凝縮物)は全て飛散し、底部のみに残るが、加熱温度や加熱時間によっては、前記底部以外の領域においてもBTBASの全てが飛散せずに一部が付着している場合もある。いずれの場合であっても、相対的に凹部230の底部に溜まっているBTBASの量を多くできるが、底部以外のBTBASはできるだけ気化させる方が望ましい。また第1の反応ガスがウェハWの表面に化学吸着する場合には、反応ガスは全て飛散せずに、凹部の側壁や凹部以外のウェハWの表面に少なくとも1分子層が吸着された状態になる。
続いてウェハWは補助領域90を通過していき、このときウェハW表面に対して、例えば50℃〜100℃に温度調整されたエタノールガスが供給される。このエタノールガスは、既述のようにウェハWに吸着されたBTBASガスの凝縮物(液化したガス)を、当該凝縮物よりも気化しにくい中間生成物にするための補助ガスである。
具体的には、エタノールガスの供給により、凹部230内のBTBASが以下の反応式(1)に従って反応し(シラノール化され)、t−ブチルアミン(CHC−NH)と中間生成物であるシロキサン重合体(−(Si−O)−)236とを生成する。
BTBAS+COH →(−(Si−O)−)+CHC−NH
・・・ (1)
このシロキサン重合体236は、水酸基を含むクラスター状の生成物であり、BTBASガスの凝縮物よりも気化しにくい生成物に相当する。こうして凹部230内に吸着したBTBASは気化されないように固定される(シラノール化ステップ)。なおこのシロキサン重合体236と共に生成した有機物は、例えば気化してウェハWの上方に向かって排気されていく。
次いでウェハWはプラズマインジェクター250の下方領域である第2の処理領域92を通過していき、このときウェハW表面に対して、Oガスのプラズマ(活性化されたOガス)が照射される。この酸素プラズマにより、ウェハWの表面では上記のシロキサン重合体236が酸化されて、シリコンと酸素とを含む反応生成物である例えば膜厚が0.1nm程度のシリコン酸化膜(SiO膜)237が形成される(酸化ステップ)。
ここでウェハWはBTBASガスの凝縮温度以下の温度に温度調整されているため、通常の手法でOガスやオゾン(O)ガスを供給したとしても、シロキサン重合体の酸化反応を進行させることができないが、プラズマインジェクター250により、Oガスを活性化してウェハW表面に供給すると、BTBASの凝縮物と反応し、ウェハW温度が低くても十分にBTBASの凝縮物の酸化反応が進行する。また、シリコン酸化膜237と共に生成した有機物などの不純物は、例えば気化してウェハWの上方に排気されていく。またシリコン酸化膜237にOプラズマを照射することによって、シリコン酸化膜237中の不純物の除去や、シリコン酸化膜237の緻密化を図ることもできる。
この後ウェハWは加熱ランプ210の下方領域を通過していき、このとき加熱ランプ210からウェハWに輻射熱が供給され、前記反応生成物であるシリコン酸化膜237を改質するためのアニール処理が行われる。この際ウェハWの表層部分は一気に例えば350℃まで上昇し、当該サイクルで形成されたシリコン酸化膜237では、当該シリコン酸化膜237内に残っていた水分や炭素成分が気化して排出される。こうしてシリコン酸化膜237では、いわば焼き締められて結合が強固になって緻密化していく。また、シリコン酸化膜237内に有機物などの不純物が残っていたとしても、このアニール処理により、前記不純物が気化して当該シリコン酸化膜237から離脱して排出されて行く。
然る後ウェハWが加熱ランプ210の下方領域から下流側に移動すると、このとき第1の分離ガスノズル41から例えば常温のNガスがウェハW表面に対して吹き付けられる。こうしてウェハWの表層部は降温する。この際、既述のように、凹部230の底部には、前記凝縮ステップ及び気化ステップにおいて選択的にBTBASが凝縮されるため、基板表面及び凹部側部に比べて前記底部側のシリコン酸化膜厚が大きくなる。
こうして、回転テーブル2を回転させることにより、BTBASの凝縮(凝縮ステップ)、BTBASの再気化(気化ステップ)、シロキサン重合体の生成(シラノール化ステップ)、反応生成物(シリコン酸化膜237)の形成(酸化ステップ)、シリコン酸化膜237の改質(アニールステップ)を繰り返して行い、前記凹部230の底部側から順に成膜していく。そして回転テーブル2の回転(サイクル)を多数回例えば20回行うことにより、前記凹部230が底上げされるようにして成膜されていき、図14に示すように、凹部230内にシリコン酸化膜237が埋め込まれる。
このサイクルを繰り返すとき、ウェハWが加熱ランプ210の下方側を通過する際、一旦ウェハWの表面温度が上昇するが、第1の分離領域D1を通過するときに常温のNガスがウェハW表面に対して供給され、このNガスによりウェハW表面が冷却される。こうしてウェハWが第1の処理領域91に到達するときには、ウェハW温度が、BTBASガスの凝縮温度以下、例えば50℃〜100℃に温度調整された状態になる。
既述のように、反応生成物であるシリコン酸化膜237は、各サイクル毎に、凹部230の底部に選択的にBTBAS235を凝縮させることにより、凹部230では底部から段階的に成膜されていくので、空隙のない状態で埋め込みが終了する。この際、凹部230の形状が、下端側の開口寸法よりも上端側の開口寸法が広くなるテーパー部233や、下端側の開口寸法よりも上端側の開口寸法が狭くなる逆テーパー部234が形成されている場合であっても、液化したBTBAS235が重力により、前記テーパに沿って下方側へ移動していくので、凹部230では底部から段階的に成膜されていき、空隙のない状態で埋め込みが終了する。また、不純物がシリコン酸化膜237の膜中に入り込んでいる場合でも、不純物を含有している可能性のある成膜直後のシリコン酸化膜237は、極めて薄いので、酸素プラズマの照射やアニール処理によって、前記不純物は速やかに排出されることになる。
即ち凹部の形状に関わらず、その底部から順番にシリコン酸化膜を積み上げ、従来のCVD法で問題であった空隙を無くした埋め込みを可能とし、かつ1サイクル毎に膜中不純物を低減するようにしたので、高品質なシリコン酸化膜形成を可能にした。
また上述の一連の工程中、第1の処理領域91と、補助領域90及び第2の処理領域92との間においてNガスを供給し、また中心部領域Cにおいても分離ガスであるNガスを供給しているので、図15に示すように、BTBASガスと、エタノールガス及びOガスとが、互いに混合しないように各ガスが排気されることとなる。また、分離領域D1,D2においては、屈曲部46と回転テーブル2の外端面との間の隙間が既述のように狭くなっているので、BTBASガス、エタノールガス及びOガスとは、回転テーブル2の外側を介しても混合しない。従って、第1の処理領域91の雰囲気と、補助領域90及び第2の処理領域92の雰囲気とが完全に分離され、BTBASガスは排気口61に、またエタノールガス及びOガスは排気口62に夫々排気される。この結果、BTBASガス、エタノールガス及びOガスとが雰囲気中においてもウェハW上においても混じり合うことがない。
また、この例では反応ガスノズル31が配置されている第2の天井面45の下方側の空間に沿った容器本体12の内周壁においては、既述のように内周壁が切り欠かれて広くなっており、この広い空間の下方に排気口61、62が位置しているので、第1の天井面44の下方側の狭隘な空間及び前記中心部領域Cの各圧力よりも第2の天井面45の下方側の空間の圧力の方が低くなる。なお、回転テーブル2の下方側をNガスによりパージしているため、排気領域Eに流入したガスが回転テーブル2の下方側を潜り抜けて、例えばBTBASガスがOガスの供給領域に流れ込むといったおそれは全くない。
即ち、チャンバ内でのBTBASガスとエタノール及びOガスによる気相反応が起こらない為に、反応副生成物の発生が極めて少なく、それによるパーティクル発生の問題を極力少なくできる。
さらに、上記のように各領域91、90、92をウェハWが順番に通過するにあたって、ウェハWが回転テーブル2の回転方向に沿って5箇所の凹部24に配置されていることから、ウェハWはBTBASが吸着される前にエタノールガスや活性化されたOガスが供給されたり、あるいは加熱ランプ210により加熱されたりする場合もあるが、特に成膜には悪影響を及ぼさない。
こうして成膜処理が終了すると、ガスの供給を停止して真空容器1内を真空排気し、その後回転テーブル2の回転を停止して各ウェハWを搬入時と逆の動作によって順次搬送アーム10により搬出する。
[第2の実施の形態]
次に、本発明の第2の実施の形態について、図16及び図17を参照して説明する。この実施の形態では、第2の反応ガス供給手段として、プラズマインジェクター250の代わりに、オゾン活性化インジェクター270が用いられている。このオゾン活性化インジェクター270は、回転テーブル2の半径方向に沿って伸びるように配置されており、図17に示すように、オゾンガスを供給するためのガスノズル271と、このガスノズルの内部に設けられたセラミックヒータ272と、を備えている。前記ガスノズル271は、反応ガスノズル31等と同様に、例えば真空容器1の外周壁から回転テーブル2の回転中心に向かってウェハWに対向して水平に伸びるようにライン状に取り付けられており、その基端部であるガス導入ポート273は当該外周壁を貫通している。このガスノズル271には、バルブ274や流量調整部275が介設されたガス供給管276により、第2の反応ガスであるオゾン(O)ガスの供給源277が接続されている。
またこのガスノズル271には、その下方側に反応ガスを吐出するための例えば口径が0.5mmのガス吐出孔278が真下を向いてノズルの長さ方向(回転テーブル2の半径方向)に亘って例えば10mmの間隔を置いて等間隔に配列されている。
前記ガスノズル271の内部には、棒状の例えばセラミックス製のヒータ272が、当該ガスノズル271の基端側から先端側へ向けて、当該ガスノズル71と同心円状に貫挿されている。これらヒータ272とガスノズル271の内壁面との間には、例えば1mm程度の隙間が形成されていて、当該隙間にOガスが導入されるようになっている。また前記ヒータ272には、その基端側に給電線279を介して電源部280が接続されている。
このヒータ272は、ガスノズル271に供給されたOガスをOのラジカルを生成する温度以上の温度例えば250℃程度に加熱して、ラジカルを生成させる役割を果たすものである。こうしてガスノズル271に供給されたOガスは、ガスノズル71内の隙間を通流する際、ヒータ272により250℃程度の温度に加熱され、ラジカルを生成した状態で、前記吐出孔278を介して回転テーブル2上のウェハWに供給される。
このように、当該実施の形態では、Oガスが予め250℃程度の温度に予備加熱され、Oのラジカルが生成された状態でウェハWに供給されるので、ウェハW温度が50℃〜100℃程度とOの活性ポイントよりも低い温度であっても、シロキサン重合体の酸化反応を効率よく進行させることができる。
以上において本発明では、図18に示すように、分離ガスノズル41,42とは別個に、加熱ガスである加熱したNガスをウェハW表面に向けて供給する加熱ガスノズル280を設けるようにしてもよい。この例では、加熱ガスノズル280は、第2の分離領域D2と補助ガスノズル200との間に設けられており、既述の第2の分離ガスノズル42と同様に構成されている。図中280aはガス供給ポート、280bはガス供給管、280cはバルブ、280dは流量調整部、280eはNガスの供給源、280fは加熱部である。このように加熱ガスノズル280を設けた場合には、第2の分離ガスノズル42のガス供給管42bから加熱した分離ガスを供給する必要はなく、当該分離ガスノズル42からは例えば常温の分離ガスが供給される。またこの加熱ガスノズル280の配置場所は、上述の例に限らず、反応ガスノズル31と補助ガスノズル200の間であれば、第2の分離ガスノズル42の下流側、上流側のいずれの位置に設けるようにしてもよい。
上記の各例においては、補助ガスノズル200から供給する補助ガスとしてはエタノールガスを用いたが、他のアルコール例えばメタノール(CHOH)などでも良いし、あるいは純水(HO)や過酸化水素水(H)などでも良く、つまり水酸基(OH基)を持つ化合物のガスであれば良い。例えば補助ガスとして純水を用いた場合には、この純水のガスとウェハWの表面に凝縮されたBTBASガスとは、例えば以下の(2)式に従って反応してシラノール化する。
BTBAS+HO →(−SiO−)n +CHC−NH↑ (2)
この反応において生成する中間生成物である(−SiO−)nは、既述のシロキサン重合体と同様に、BTBASの凝縮物よりも気化しにくい生成物である。
また本発明においては、必ずしもシラノール化ステップを行う必要はなく、気化ステップにより凹部230の底部に選択的に吸着させた液化BTBASに対して酸素プラズマの照射又は、活性化したOガスの供給を行って、前記液化BTBASの酸化ステップを行うようにしてもよい。この場合には、分離ガスノズル42の下流側には、補助ガスノズル200を設けずに、プラズマインジェクター250(又はオゾンガス活性化インジェクター270)と加熱ランプ210が設けられる。このように、BTBASガスの凝縮ステップ→加熱したNガスによる気化ステップ→酸素プラズマの照射又は、活性化したオゾンガスによる酸化ステップを繰り返すことによっても、凹部230の底部側の成膜を選択的に多くすることで、埋め込み特性が改善される。
また第1の分離領域D1にて供給される分離ガスの温度は、必ずしも常温でなくてもよく、加熱ランプ210の下方側領域を通過したウェハWが第1の分離領域D1を介して第1の処理領域91に到達するまでに、ウェハW温度がBTBASガスの凝縮温度以下に調整される程度の温度に設定すればよい。この場合、回転テーブル2の回転数や、反応ノズル31の位置、第1の分離領域D1の大きさ、第1の加熱ランプ210の位置や加熱ランプ210によるウェハWの加熱温度等を考慮して、前記分離ガスの供給温度が設定される。
さらにまた酸素プラズマにより酸化ステップを実行する場合には、酸素ガスと共に、プラズマ発生用のガスとしてArガス又はArガスとHeガスの混合ガスを用いても良い。このArガスを用いた場合には、膜中にSiO結合を作り、SiOH結合をなくすという効果が得られる。
さらにまた上記の例においては、成膜処理中に加熱ランプ210に給電を続けて、回転テーブル2の各回転毎(各サイクル毎)に反応生成物に対して加熱ランプ210による加熱処理を行うようにしたが、BTBASガスの凝縮ステップ、気化ステップ、シラノール化ステップ(省略してもよい)、酸化ステップを複数回例えば20回繰り返す毎に加熱ランプ210に給電して加熱処理を行うようにしても良い。
この場合には、回転テーブル2を複数回回転させて反応生成物の積層を複数回行った後、分離ガス以外の各ガスの供給を停止すると共に加熱ランプ210をオンにし、回転テーブル2を1回転させて各ウェハWを順番に加熱ランプ210の下方側を通過させる。このような例によっても良好な膜質を得ることができる。
また、前記分離領域Dの天井面44において、前記分離ガスノズル41、42に対して回転テーブル2の回転方向の上流側壁位は、外縁に位置する部位ほど前記回転方向の幅が大きいことが好ましい。その理由は回転テーブル2の回転によって上流側から分離領域Dに向かうガスの流れが外縁に寄るほど速いためである。この観点からすれば、上述のように凸状部4を扇型に構成することは得策である。
さらにまた本発明では分離ガス供給手段における回転方向両側に低い天井面44が位置することが好ましいが、分離ガスノズル41、42の両側に凸状部4を設けずに、分離ガスノズル41、42から下方に向けてNガスを吹き出してエアカーテンを形成し、このエアカーテンにより第1の処理領域91と第2の処理領域92とを分離するようにしても良い。
ウェハWを、第1の反応ガスが吸着されて凝縮される温度に温度調整するための温度調整部としては、ランプ加熱装置であってもよく、回転テーブル2の下方側に設ける代わりに回転テーブル2の上方側に設けてもよいし、上下両方に設けてもよい。さらにガス種に応じて基板濃度を室温以下に設定する必要があるため、チラーや液体窒素による温度制御が可能となっていてもよい。
また、各々の反応ガスが混じり合わないように排気されながら、ウェハWの表面にBTBASが吸着して凝縮し、その後加熱したNガスにより再気化し、エタノールガスにより中間生成物が生成し、続いて酸素プラズマやOガスのラジカルにより中間生成物が酸化されるサイクルが多数回繰り返される構成であればよく、各ノズル31、200、41、42及びプラズマインジェクター250(オゾン活性化インジェクター270)、加熱ランプ210の取り付け位置は適宜変更できる。
さらにまた加熱ランプ210に代えて、プラズマインジェクター250を用いてウエハW上の反応生成物を改質するようにしてもよい。このような構成は特に第2の反応ガス供給手段としてオゾン活性化インジェクターを用いた場合には有効である。プラズマによる改質では、3次元的に結合するSi−O−Si結合が形成される為、エッチング耐性等の膜質向上が可能となる。この場合、前記プラズマインジェクター250は、ウエハW上の反応生成物を改質するために、酸素を含むガスをプラズマ化して供給する酸素プラズマ供給手段に相当する。
以上において、第1の反応ガスとしては、BTBASに限らずTEOS(テトラエトキシシラン)やDIPAS(ジイソプロピルアミノシラン)、3DMAS(トリスジメチルアミノシラン)を用いることができる。なお本発明は、回転テーブル2に1個のウェハWを載置する場合にも適用できる。
1 真空容器
2 回転テーブル
D1,D2 分離領域
W ウェハ
90 補助領域
91 第1の処理領域
92 第2の処理領域
200 補助ノズル
210 加熱ランプ
250 プラズマインジェクター
270 オゾン活性化インジェクター
280 加熱ガスノズル
237 シリコン酸化膜

Claims (16)

  1. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜装置において、
    前記真空容器内に設けられ、基板を載置するためのテーブルと、
    このテーブル上の基板に第1の反応ガスを供給する第1の反応ガス供給手段と、
    前記テーブル上の基板を、第1の反応ガスが吸着されて凝縮される温度に温度調整するための温度調整部と、
    基板に吸着された第1の反応ガスの凝縮物の一部を気化させるために基板を加熱する加熱手段と、
    基板に吸着されている前記凝縮物と反応して反応生成物を生成するために第2の反応ガスを活性化して基板に供給する第2の反応ガス供給手段と、
    前記第1の反応ガス供給手段及び第2の反応ガス供給手段と前記テーブルとを相対的に回転させるための回転機構と、を備え、
    前記第1の反応ガス供給手段、加熱手段及び第2の反応ガス供給手段は、前記テーブルの周方向に沿って配置されていることを特徴とする成膜装置。
  2. 前記第2の反応ガス供給手段は、第2の反応ガスをプラズマ化するかまたは加熱する手段を含むことを特徴とする請求項1に記載の成膜装置。
  3. 基板に吸着された第1の反応ガスの凝縮物を、当該凝縮物よりも気化しにくい生成物とするために補助ガスを基板に供給する補助ガス供給手段を備えたことを特徴とする請求項1または2に記載の成膜装置。
  4. 前記気化しにくい生成物は、水酸基及び/または水分を含む生成物であることを特徴とする請求項3に記載の成膜装置。
  5. 前記基板上の反応生成物を改質するために基板を加熱する改質用の加熱部を備えたことを特徴とする請求項3または4に記載の成膜装置。
  6. 前記改質用の加熱部の代わりに、前記基板上の反応生成物を改質するために、酸素を含むガスをプラズマ化して供給する酸素プラズマ供給手段を備えたことを特徴とする請求項5記載の成膜装置。
  7. 前記第1の反応ガスと第2の反応ガスの混合を防ぐために、第1の反応ガス供給手段による第1の反応ガス供給領域と、第2の反応ガス供給手段による第2の反応ガス供給領域との間において、基板に対して分離ガスを供給する分離ガス供給手段を設けたことを特徴とする請求項1又は2記載の成膜装置。
  8. 前記第1の反応ガスと第2の反応ガス及び補助ガスとの混合を防ぐために、第1の反応ガス供給手段による第1の反応ガス供給領域と、第2の反応ガス供給手段による第2の反応ガス供給領域及び補助ガス供給手段による補助ガス供給領域との間において、基板に対して分離ガスを供給する分離ガス供給手段を設けたことを特徴とする請求項3記載の成膜装置。
  9. 前記第1の反応ガス供給領域に対して前記相対的回転方向の下流側に隣接して設けられた分離ガス供給手段は加熱手段を兼用し、加熱された分離ガスを基板に供給するものであることを特徴とする請求項7又は8記載の成膜装置。
  10. 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給し、かつこの供給サイクルを実行することにより反応生成物の層を積層して薄膜を形成する成膜方法において、
    真空容器内のテーブルに、凹部が形成された基板をほぼ水平に載置する工程と、
    前記テーブル上の基板を、第1の反応ガスが吸着されて凝縮される温度に温度調整する工程と、
    前記テーブル上の基板に第1の反応ガス供給手段から第1の反応ガスを供給し、第1の反応ガスの凝縮物を基板に付着させる工程と、
    前記テーブルと第1の反応ガス供給手段とを相対的に回転させる工程と、
    前記基板に吸着された第1の反応ガスの凝縮物の一部を気化させる工程と、
    第2の反応ガスを活性化して基板に供給することにより、基板に吸着されている前記凝縮物と反応して反応生成物を生成する工程と、を含むことを特徴とする成膜方法。
  11. 基板に吸着された第1の反応ガスの凝縮物を、当該凝縮物よりも気化しにくい生成物とするために補助ガスを基板に供給する工程を含むことを特徴とする請求項10記載の成膜方法。
  12. 前記基板上の反応生成物を改質するために基板を加熱する工程を含むことを特徴とする請求項10又は11記載の成膜方法。
  13. 前記基板を加熱する工程の代わりに、前記基板上の反応生成物を改質するために、当該基板に対して酸素を含むガスをプラズマ化して供給する工程を含むことを特徴とする請求項12記載の成膜方法。
  14. 第1の反応ガスと第2の反応ガスの混合を防ぐために、第1の反応ガスの供給領域と第2の反応ガスの供給領域との間に、基板に対して分離ガスを供給する工程を含むことを特徴とする請求項10記載の成膜方法。
  15. 第1の反応ガスと第2の反応ガス及び補助ガスとの混合を防ぐために、第1の反応ガスの供給領域と第2の反応ガスの供給領域及び補助ガスの供給領域との間に、基板に対して分離ガスを供給する工程を含むことを特徴とする請求項11記載の成膜方法。
  16. 前記分離ガスを供給する工程は、前記第1の反応ガスが供給された基板に対して加熱された分離ガスを供給して、前記基板に吸着された第1の反応ガスの凝縮物の一部を気化させる工程を含むことを特徴とする請求項14又は15記載の成膜方法。
JP2009202016A 2009-09-01 2009-09-01 成膜装置及び成膜方法 Active JP5444961B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2009202016A JP5444961B2 (ja) 2009-09-01 2009-09-01 成膜装置及び成膜方法
US12/871,342 US20110048326A1 (en) 2009-09-01 2010-08-30 Film formation apparatus for semiconductor process
CN201010272014.0A CN102002685B (zh) 2009-09-01 2010-08-31 成膜装置和成膜方法
KR1020100084692A KR101407112B1 (ko) 2009-09-01 2010-08-31 반도체 처리용 성막 장치
TW099129185A TWI452645B (zh) 2009-09-01 2010-08-31 半導體處理用之成膜裝置
US14/644,703 US9580802B2 (en) 2009-09-01 2015-03-11 Film formation method and apparatus for semiconductor process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009202016A JP5444961B2 (ja) 2009-09-01 2009-09-01 成膜装置及び成膜方法

Publications (2)

Publication Number Publication Date
JP2011054742A true JP2011054742A (ja) 2011-03-17
JP5444961B2 JP5444961B2 (ja) 2014-03-19

Family

ID=43622964

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009202016A Active JP5444961B2 (ja) 2009-09-01 2009-09-01 成膜装置及び成膜方法

Country Status (5)

Country Link
US (2) US20110048326A1 (ja)
JP (1) JP5444961B2 (ja)
KR (1) KR101407112B1 (ja)
CN (1) CN102002685B (ja)
TW (1) TWI452645B (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130075695A (ko) * 2011-12-27 2013-07-05 도쿄엘렉트론가부시키가이샤 성막 방법
KR20140009073A (ko) * 2012-07-13 2014-01-22 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2014022653A (ja) * 2012-07-20 2014-02-03 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2014123605A (ja) * 2012-12-20 2014-07-03 Tokyo Electron Ltd Cu配線の形成方法
JP2015070095A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2015142033A (ja) * 2014-01-29 2015-08-03 株式会社 天谷製作所 常圧気相成長装置および常圧気相成長方法
JPWO2013137115A1 (ja) * 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2015141995A (ja) * 2014-01-28 2015-08-03 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR101561335B1 (ko) * 2012-02-09 2015-10-16 도쿄엘렉트론가부시키가이샤 성막 장치
KR101563777B1 (ko) * 2012-02-02 2015-10-27 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
KR101602016B1 (ko) 2012-07-06 2016-03-17 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
JP2016517635A (ja) * 2013-03-15 2016-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 小ロット基板ハンドリングシステムのための温度制御システム及び方法
JP2017212244A (ja) * 2016-05-23 2017-11-30 東京エレクトロン株式会社 成膜方法
US10287675B2 (en) 2016-01-29 2019-05-14 Tokyo Electron Limited Film deposition method
US10458016B2 (en) 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
JP2020025078A (ja) * 2018-07-25 2020-02-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP2021064720A (ja) * 2019-10-16 2021-04-22 大陽日酸株式会社 金属酸化薄膜の形成方法
JP2021125590A (ja) * 2020-02-06 2021-08-30 東京エレクトロン株式会社 成膜装置及び成膜方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5262878B2 (ja) * 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
CN102776491B (zh) * 2011-05-12 2015-08-12 东京毅力科创株式会社 成膜装置和成膜方法
CN102787304B (zh) * 2011-05-18 2015-07-29 东京毅力科创株式会社 成膜方法和成膜装置
CN103031540B (zh) * 2011-09-30 2015-10-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔装置及具有其的基片处理设备
JP5712889B2 (ja) * 2011-10-07 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
US20130164445A1 (en) * 2011-12-23 2013-06-27 Garry K. Kwong Self-Contained Heating Element
US20130171832A1 (en) * 2011-12-28 2013-07-04 Intermolecular Inc. Enhanced Isolation For Combinatorial Atomic Layer Deposition (ALD)
JP5966649B2 (ja) * 2012-06-18 2016-08-10 東京エレクトロン株式会社 熱処理装置
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR101913978B1 (ko) 2014-07-25 2018-10-31 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 라디칼 가스 발생 시스템
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
WO2016067380A1 (ja) 2014-10-29 2016-05-06 東芝三菱電機産業システム株式会社 放電発生器とその電源装置
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6767844B2 (ja) * 2016-11-11 2020-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
WO2019245592A1 (en) * 2018-06-22 2019-12-26 Versum Materials Us, Llc Purge system for gas supply equipment capable of high-temperature high-pressure purging
JP7048433B2 (ja) * 2018-06-22 2022-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CN109868460B (zh) * 2019-03-14 2021-10-15 嘉兴科民电子设备技术有限公司 一种薄膜生长系统及生长方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040026374A1 (en) * 2002-08-06 2004-02-12 Tue Nguyen Assembly line processing method

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL124213C (ja) * 1962-04-19 1900-01-01
BE638560A (ja) * 1962-10-11
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP2555959B2 (ja) * 1993-10-28 1996-11-20 日本電気株式会社 気相成長装置および気相成長方法
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
IT1271233B (it) * 1994-09-30 1997-05-27 Lpe Reattore epitassiale munito di suscettore discoidale piano ed avente flusso di gas parallelo ai substrati
JPH08162449A (ja) * 1994-12-09 1996-06-21 Sony Corp 絶縁膜の形成方法
US5924012A (en) * 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
JP3885431B2 (ja) * 1999-11-19 2007-02-21 凸版印刷株式会社 真空成膜装置およびそれを用いた成膜方法
JP4910105B2 (ja) * 2001-09-26 2012-04-04 Dowaエレクトロニクス株式会社 気相薄膜成長装置および気相薄膜成長方法
KR100395507B1 (ko) * 2001-11-27 2003-08-25 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
KR100452525B1 (ko) * 2001-12-26 2004-10-12 주성엔지니어링(주) Ald 공정에 적합한 가스 인젝터
JP4094901B2 (ja) * 2002-07-10 2008-06-04 東京エレクトロン株式会社 成膜方法
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US8357434B1 (en) * 2005-12-13 2013-01-22 Lam Research Corporation Apparatus for the deposition of a conformal film on a substrate and methods therefor
DE102005062917A1 (de) * 2005-12-29 2007-07-12 Infineon Technologies Ag Atomlagenabscheideverfahren
JP2009531535A (ja) * 2006-03-03 2009-09-03 ガードギール,プラサード 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
KR100807223B1 (ko) * 2006-07-12 2008-02-28 삼성전자주식회사 상변화 물질층, 상변화 물질층 형성 방법 및 이를 이용한상변화 메모리 장치의 제조 방법
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
KR100791010B1 (ko) * 2007-01-12 2008-01-03 삼성전자주식회사 반도체 제조 장치 및 이를 이용한 반도체 기판의 처리 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
CN102224287B (zh) * 2008-11-25 2013-03-27 3M创新有限公司 用于清洁柔性幅材的设备和方法
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040026374A1 (en) * 2002-08-06 2004-02-12 Tue Nguyen Assembly line processing method

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013135154A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
KR20130075695A (ko) * 2011-12-27 2013-07-05 도쿄엘렉트론가부시키가이샤 성막 방법
KR101595148B1 (ko) * 2011-12-27 2016-02-17 도쿄엘렉트론가부시키가이샤 성막 방법
US9023738B2 (en) 2011-12-27 2015-05-05 Tokyo Electron Limited Film deposition method
KR101563777B1 (ko) * 2012-02-02 2015-10-27 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
KR101561335B1 (ko) * 2012-02-09 2015-10-16 도쿄엘렉트론가부시키가이샤 성막 장치
JPWO2013137115A1 (ja) * 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
KR101602016B1 (ko) 2012-07-06 2016-03-17 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
KR101596094B1 (ko) * 2012-07-13 2016-02-19 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2014022456A (ja) * 2012-07-13 2014-02-03 Tokyo Electron Ltd 成膜方法及び成膜装置
KR20140009073A (ko) * 2012-07-13 2014-01-22 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2014022653A (ja) * 2012-07-20 2014-02-03 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2014123605A (ja) * 2012-12-20 2014-07-03 Tokyo Electron Ltd Cu配線の形成方法
JP2016517635A (ja) * 2013-03-15 2016-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 小ロット基板ハンドリングシステムのための温度制御システム及び方法
JP2015070095A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2015141995A (ja) * 2014-01-28 2015-08-03 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2015142033A (ja) * 2014-01-29 2015-08-03 株式会社 天谷製作所 常圧気相成長装置および常圧気相成長方法
US10458016B2 (en) 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US10287675B2 (en) 2016-01-29 2019-05-14 Tokyo Electron Limited Film deposition method
JP2017212244A (ja) * 2016-05-23 2017-11-30 東京エレクトロン株式会社 成膜方法
US10796902B2 (en) 2016-05-23 2020-10-06 Tokyo Electron Limited Film deposition method
JP2020025078A (ja) * 2018-07-25 2020-02-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7257883B2 (ja) 2018-07-25 2023-04-14 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP2021064720A (ja) * 2019-10-16 2021-04-22 大陽日酸株式会社 金属酸化薄膜の形成方法
JP7314016B2 (ja) 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
JP2021125590A (ja) * 2020-02-06 2021-08-30 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7353199B2 (ja) 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜装置
US11905595B2 (en) 2020-02-06 2024-02-20 Tokyo Electron Limited Film deposition apparatus and film deposition method

Also Published As

Publication number Publication date
US9580802B2 (en) 2017-02-28
US20110048326A1 (en) 2011-03-03
TW201125061A (en) 2011-07-16
KR20110025114A (ko) 2011-03-09
US20150184293A1 (en) 2015-07-02
CN102002685B (zh) 2014-02-12
TWI452645B (zh) 2014-09-11
JP5444961B2 (ja) 2014-03-19
CN102002685A (zh) 2011-04-06
KR101407112B1 (ko) 2014-06-13

Similar Documents

Publication Publication Date Title
JP5444961B2 (ja) 成膜装置及び成膜方法
JP5131240B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5181100B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
JP5625624B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5287592B2 (ja) 成膜装置
KR102182996B1 (ko) 성막 방법 및 성막 장치
JP5423205B2 (ja) 成膜装置
JP5375853B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5423529B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5434484B2 (ja) 成膜装置、成膜方法及び記憶媒体
TWI513850B (zh) 成膜裝置及成膜方法
KR20150052784A (ko) 기판 처리 장치 및 기판 처리 방법
KR20140049955A (ko) 성막 방법 및 성막 장치
JP2010087475A (ja) 半導体装置の製造方法及び製造装置
JP5549754B2 (ja) 成膜装置
JP5447632B2 (ja) 基板処理装置
JP5750190B2 (ja) 成膜装置及び成膜方法
JP2015056633A (ja) シリコン酸化膜の製造方法
JP7158337B2 (ja) 成膜方法
JP5692337B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP2011142335A (ja) 半導体装置の製造方法及び製造装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120221

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120509

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130925

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20131003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131209

R150 Certificate of patent or registration of utility model

Ref document number: 5444961

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250