CN102820230A - 后形成鳍的置换型金属栅极finfet - Google Patents

后形成鳍的置换型金属栅极finfet Download PDF

Info

Publication number
CN102820230A
CN102820230A CN2012101899044A CN201210189904A CN102820230A CN 102820230 A CN102820230 A CN 102820230A CN 2012101899044 A CN2012101899044 A CN 2012101899044A CN 201210189904 A CN201210189904 A CN 201210189904A CN 102820230 A CN102820230 A CN 102820230A
Authority
CN
China
Prior art keywords
fin
hard mask
gate electrode
dummy gate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101899044A
Other languages
English (en)
Other versions
CN102820230B (zh
Inventor
J·B·常
M·A·奎洛姆
W·E·海恩施
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN102820230A publication Critical patent/CN102820230A/zh
Application granted granted Critical
Publication of CN102820230B publication Critical patent/CN102820230B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明的实施方式提供了一种后形成鳍的置换型金属栅极FinFET。提供了FinFET器件及其制造方法。在本发明的一个方面中,提供了一种用于制造FET器件的方法包括以下步骤。提供具有绝缘体上有源层的晶片。在有源层上图案化多个鳍硬掩模。将虚设栅极布置在鳍硬掩模的中心部分之上。一种或者多种掺杂剂被注入到器件的源极区和漏极区中。在虚设栅极的周围沉积电介质填料层。移除虚设栅极,以在电介质填料层中形成沟槽。鳍硬掩模用来在沟槽内的有源层中蚀刻多个鳍。活化掺杂剂。在沟槽中形成置换型栅极,其中活化掺杂剂的步骤在形成置换型栅极的步骤之前执行。

Description

后形成鳍的置换型金属栅极FINFET
技术领域
本发明涉及集成电路,并且更具体而言,涉及鳍型场效应晶体管(FinFET)器件及其制造方法。
背景技术
由于鳍型场效应晶体管(FinFET)器件的快速切换时间以及高的电流密度,鳍型场效应晶体管是期望的器件架构。在FinFET器件的基本形式中,FinFET器件包括源极、漏极以及在源极和漏极之间的一个或者多个鳍形沟道。在鳍之上的栅极电极调节在源极和漏极之间的电子流。
然而,FinFET器件的架构展现出显著的制造挑战。例如,随着器件的特征尺寸变得越来越小(与当前技术相匹配),使源极和漏极精确且一致地接触成为问题。FinFET器件的某些先前示范已经关于单个鳍、隔离的器件或者以极大弛豫间距构建的器件。这些特性允许避开使源极和漏极接触的问题。
源极/漏极连接焊盘有时用来接触鳍,这在处理期间提供机械稳定性、简化器件接触方案并且减小外部电阻。然而,连接焊盘需要与栅极精确地对准,以便实现实际的栅极间距(在使用最小栅极间距的逻辑布局的情况中)并且最小化外部电阻和寄生电容中的变化。将连接盘焊盘与栅极适当且一致地对准很困难。因此,已经提出了并不使用连接焊盘的备选接触方案。然而,在没有连接盘焊盘的情况下,需要与各个鳍形成接触,这可能例如由于最小鳍间距与接触过孔的最小间距之间的失配而较困难。
已经提出了诸如外延地合并鳍或者使用接触条来接触多个鳍之类的解决方案。例如,外延提升的源极区和漏极区用来减小串联电阻并且简化接触方案。例如,参见Kaneko等人的Sidewall transferprocess and selective gate sidewall spacer formation technology forsub-15nm finfet with elevated source/drain extension,IEDM TechnicalDigest,第844至847页(2005);Kavalieros等人的Tri-Gate TransistorArchitecture with High-k Gate Dielectrics,Metal Gates and StrainEngineering,Sympos ium on VLSI Technology 2006,第50至51页(2006);以及Shang等人的Investigation of FinFET Devices for 32nm Technologies and Beyond,Symposium on VLSI Technology 2006,第54至55页(2006)。
然而,外延工艺由于它们对表面化学、晶体定向和生长条件的极端敏感性而具有缺陷。例如,利用外延生长工艺,需要防止在栅极上的寄生生长,需要保护器件结构的其余部分不受激进的预外延清洁之害,并且需要控制外延生长的分面(faceting)和方向以最小化寄生电容和寄生电阻两者并且以在不同掺杂的源极表面和漏极表面上实现相似的生长。
缩小的鳍宽度是FinFET制造的另一挑战。对于在栅极图案化之前形成鳍的方案而言,薄的鳍必须经受得住通常包括激进的刻蚀工艺的栅极和间隔物处理。
由Schulz提交的美国专利申请公开No.2006/0189043(此后称为“Schulz”)描述了finFET器件制造方法,其包括使用在衬底之上的掩模层、在掩模层中创建沟槽、在衬底中在沟槽内形成鳍以及然后在沟槽中在鳍之上形成平面化的栅极电极。然而,Schulz的教导并不用于尤其是在缩小的工艺技术的背景中以制造所需的精度和一致性形成鳍。
因此,期望改善器件接触方案和器件的可缩放性的FinFET器件及其制造方法。
发明内容
本发明提供了改进的鳍型场效应晶体管(FinFET)器件及其制造方法。在本发明的一个方面中,提供了一种用于制造场效应晶体管器件的方法。该方法包括以下步骤。提供了具有在绝缘体上的有源层的晶片。在有源层上图案化多个鳍硬掩模。将虚设栅极布置在鳍硬掩模的中心部分之上,其中有源层的、在虚设栅极之外的部分将用作器件的源极区和漏极区。将一种或者多种掺杂剂注入到源极区和漏极区中。在虚设栅极的周围沉积电介质填料层。移除虚设栅极,以在电介质填料层中形成沟槽,其中鳍硬掩模存在于沟槽中的有源层之上。鳍硬掩模用来在沟槽内的有源层中蚀刻多个鳍,其中鳍将用作器件的沟道区域。使用快速热退火来活化注入到源极区和漏极区中的掺杂剂。在沟槽中形成置换型(replacement)栅极,其中活化被注入到源极区和漏极区中的掺杂剂的步骤在于沟槽中形成置换型栅极的步骤之前执行。
在本发明的另一方面中,提供了一种场效应晶体管器件。该器件包括源极区;漏极区;连接源极区和漏极区的多个鳍,其中鳍用作器件的沟道区域,并且其中鳍具有从约20nm至约200nm的间距,并且每个鳍具有从约2nm至约40nm的宽度;金属栅极,其至少部分围绕每个鳍,其中源极区和漏极区与金属栅极自对准;以及在金属栅极周围的电介质填料层。
通过参照一下详细描述和附图,将获得对本发明的更完整的理解以及本发明的其他一些特征和优点。
附图说明。
图1是图示了绝缘体上半导体(SOI)晶片的三维示意图,该绝缘体上半导体(SOI)晶片作为用于制造根据本发明的一个实施例的鳍型场效应晶体管(FinFET)器件的起始结构;
图2A是图示了根据本发明的一个实施例的浅沟槽隔离(STI)的三维示意图,该STI已被用于限定图1的SOI晶片中的有源区;
图2B是图示了根据本发明的一个实施例鳍硬掩模已经沉积在图1的SOI晶片上的三维示意图;
图3A是图示了根据本发明的一个实施例的鳍硬掩模的三维示意图,该鳍硬掩模已经沉积在图2A的SOI晶片上;
图3B是图示了根据本发明的一个实施例的台面隔离的三维示意图,该台面隔离已经用于限定图2B的SOI晶片中的有源区;
图4A是图示了根据本发明的一个实施例的已被布置在图3A的鳍硬掩模的中心部分之上的虚设栅极结构以及鳍硬掩模的从虚设栅极之下延伸出来的部分已被可选地移除的三维示意图,其中晶片的有源层的未被虚设栅极覆盖的部分用作器件的源极区和漏极区;
图4B是图示了根据本发明的一个实施例的已被布置在图3B的鳍硬掩模的中心部分之上的虚设栅极结构以及鳍硬掩模的、从虚设栅极之下延伸出来的部分已被可选地移除的三维示意图,其中晶片的有源层的未被虚设栅极覆盖的部分用作器件的源极区和漏极区;
图5A是图示了根据本发明的一个实施例的离子注入已被执行进入到图4A的源极区和漏极区中的三维示意图;
图5B是图示了根据本发明的一个实施例的离子注入已被执行进入到图4B的源极区和漏极区中的三维示意图;
图6A是图示了根据本发明的一个实施例的已在图5A的源极区和漏极区上形成的硅化物区域的三维示意图;
图6B是图示了根据本发明的一个实施例的已在图5B的源极区和漏极区上形成的硅化物区域的三维示意图;
图7A是图示了根据本发明的一个实施例的已在图6A的虚设栅极周围沉积的填料层的三维示意图;
图7B是图示了根据本发明的一个实施例的已在图6B的虚设栅极周围沉积的填料层的三维示意图;
图8A是图示了根据本发明的一个实施例的已移除虚设栅极从而在图7A的填料层中形成沟槽的三维示意图;
图8B是图示了根据本发明的一个实施例的已移除虚设栅极从而在图7B的填料层中形成沟槽的三维示意图;
图9A是根据本发明的一个实施例的图示了已在图8A的有源层中形成的鳍的三维示意图;
图9B是图示了根据本发明的一个实施例的已在图8B的有源层中形成的鳍的三维示意图;
图10A是图示了根据本发明的一个实施例的已经在图9A的沟槽中形成的间隔物的三维示意图;
图10B是图示了根据本发明的一个实施例的已经在图9B的沟槽中形成的间隔物的三维示意图;
图11A是图示了根据本发明的一个实施例的已从鳍的顶部移除来自图10A的剩余鳍硬掩模的三维示意图;
图11B是图示了根据本发明的一个实施例的已从鳍的顶部移除来自图10B的剩余鳍硬掩模的三维示意图;
图12A是图示了根据本发明的一个实施例的图11A的绝缘体在在鳍之间的沟槽中的露出部分已经被凹陷以可选地提供栅极全部环绕配置的三维示意图;
图12B是图示了根据本发明的一个实施例的图11B的绝缘体在在鳍之间的沟槽中的露出部分已经被凹陷,以可选地提供栅极全部环绕配置的三维示意图;
图13A是图示了根据本发明的一个实施例的已在图11A的鳍上生长的可选的牺牲氧化物层的三维示意图;
图13B是图示了根据本发明的一个实施例的已在图11B的鳍上生长的可选的牺牲氧化物层的三维示意图;
图14A是图示了根据本发明的一个实施例的已在图13A的沟槽中形成的置换型栅极的三维示意图;
图14B是图示了根据本发明的一个实施例的已在图13B的沟槽中形成的置换型栅极的三维示意图;
图15A是图示了根据本发明的一个实施例的已在图12A的沟槽中形成的全部环栅置换型栅极的三维示意图;以及
图15B是图示了根据本发明的一个实施例的已在图12B的沟槽中形成的全部环栅置换型栅极的三维示意图。
具体实施方式
图1至图15是图示了用于制造鳍型场效应晶体管(FinFET)器件的示例性方法的示意图。如以下将详细描述的那样,本技术利用大马士革栅极工艺来构建与栅极自对准的源极/漏极区。
制造工艺始于绝缘体上半导体(SOI)晶片。参见图1。SOI晶片典型地包括由绝缘体与衬底隔开的半导体材料层(通常也被称为绝缘体上半导体层或者SOI层)。当绝缘体是氧化物(例如,二氧化硅(SiO2))时,其通常被称为掩埋氧化物,或者BOX。根据本技术,SOI层将用作器件的有源层。因此,SOI层在本文中将被称为有源层。在图1示出的示例中,起始晶片包括在BOX 102之上的有源层106。为便于描述,未示出典型地位于BOX之下的衬底。根据一个示例性实施例,通过诸如硅(Si)(例如晶状硅)、锗硅(SiGe)或者锗(Ge)之类的半导体材料形成有源层106。因此,有源层106也可以被称为“半导体器件层”或者简称为“半导体层”。
此外,有源层106优选地具有从约5纳米(nm)到约40nm的厚度。商业上可用的SOI晶片典型地具有较厚的SOI层。因此,可以使用诸如氧化减薄之类的技术来减薄商业晶片的SOI层,以获得本技术期望的有源层厚度。
接着,在有源层中限定至少一个有源区。这可以以多种不同方式来实现,例如,一种方式是借助于浅沟槽隔离(STI),而另一种方式是借助于台面隔离。将在下面的每个附图中呈现这两种情景,其中STI实施例被示出为每个附图的A子部分,而台面隔离被示出为每个附图的B子部分。
因此,在图2A图示示出的示例性实施例中,STI用来限定图1的晶片的有源层中的有源区。STI隔离工艺始于首先在有源层106的将用作器件的有源区的部分上形成电介质硬掩模(未示出)。继而,例如使用反应离子刻蚀(RIE)移除有源层106的、在有源区之外的未被电介质硬掩模保护的部分(有源层106的被移除的这些部分对应于器件的非有源区)。继而,例如使用化学气相沉积(CVD)、等离子体增强CVD(PECVD)、原子或者分子层沉积(ALD或者MLD)、旋涂式电介质(SOD)或者这些技术的某些组合来毯式沉积STI电介质材料。适当的STI电介质材料包括但不限于氮化硅衬垫接着是氧化硅填充。所沉积的STI电介质材料可以使用诸如化学机械抛光(CMP)之类的技术来平面化,以便从有源区域移除STI电介质材料。剩余的STI电介质材料在图2A中被示出为STI电介质202。继而,可以使用RIE、湿法化学刻蚀、蒸发刻蚀或者这些技术的某些组合来移除电介质硬掩模,以露出有源层106。因此,根据该工艺,有源层的被移除的部分被电绝缘电介质代替。
通常以在纳米至微米特征尺寸范围的工艺技术采用STI。如以下将详细描述的那样,本技术适用于生成具有栅极长度低至30nm以下(例如,栅极长度低至约22nm)的FinFET器件。
备选地,台面隔离可以用来限定在图1的SOI晶片中的有源区。如从以下描述将变得明显的那样,鳍硬掩模将用来制造器件的多个鳍形沟道。利用STI隔离技术或者台面隔离技术中的任一种,鳍硬掩模可以在执行隔离步骤之前或者之后制造。因此,仅通过示例,在图2A中,示出了在形成鳍硬掩模之前执行STI隔离步骤。然而,该工艺可以包括在执行STI隔离步骤之前形成鳍硬掩模。对于台面隔离也是这种情况。
在决定是在隔离之前还是之后形成鳍硬掩模中考虑的因素是:在工艺中的晶片上的构形较少的时刻执行鳍图案化是有益的。因此,在台面隔离的情况下,在硬掩模图案化之后执行隔离步骤可以是有利的,这是由于鳍图案化是比有源区光刻更具有挑战性的光刻步骤。鳍图案化确定沟道的形状,并且鳍宽度的任何不均匀将导致阈值电压变化。此外,任何线边缘粗糙也将导致阈值电压变化或者沟道表面迁移率的退化。另一方面,有源区图案化确定源极区和漏极区的形状,这对器件性能的影响比对沟道的影响小。
因此,在图2B中图示示出的示例性实施例中,在有源层106上制造多个鳍硬掩模。根据一个示例性实施例,每个鳍硬掩模具有从约2nm到约50nm的高度。如图2B所示,鳍硬掩模可以通过首先沉积鳍硬掩模材料堆叠并且然后使用光刻和刻蚀来直接图案化该堆叠以形成各个鳍硬掩模。根据一个示例性实施例,鳍硬掩模材料堆叠包括在有源层106上热生长成从约1nm到约25nm厚度的氧化物(例如,SiO2)层,以及使用低压化学气相沉积(LPCVD)在SiO2层上沉积成从约1nm到约25nm厚度的氮化硅层。可以在鳍硬掩模中包括的其他材料包括在高温下稳定的碳材料,或者氧化铪(HfO2)或者氮化钽(TaN)。仅通过示例,这些其他材料可以单独用作鳍硬掩模或者并入到使用这些材料的某些组合的多层堆叠中(如与以上提供有氧化物/氮化物的示例),前提是最上层充当用于一个或者多个较低的下层的刻蚀掩模并且堆叠中的至少一个层是用于半导体材料(即,有源层)刻蚀的刻蚀掩模(如与以上提供有氧化物/氮化物的情形)。
鳍硬掩模材料堆叠然后被直接图案化,以形成多个各个鳍硬掩模。例如,参见图2B。根据一个示例性实施例,鳍硬掩模被形成为具有从约20nm至约200nm的间距(即,每个相邻的鳍硬掩模之间的距离)(如箭头208所示),以及从约2nm到约40nm的宽度Dfin(如箭头210a和210b所示)。这样,所得鳍也将具有约20nm至约200nm的间距(即,相邻的鳍硬掩模之间的距离),以及从约2nm到约40nm的宽度。
备选地,鳍硬掩模可以使用诸如侧壁图像转移之类的间距加倍技术来制造。例如,可以沉积和图案化诸如多晶硅(多晶Si)或者碳之类的牺牲芯轴材料,并且然后可以在牺牲芯轴上保形地沉积一种或者多种鳍硬掩模材料,并且各向异性地刻蚀以在芯轴的侧壁上形成间隔物。然后可以移除牺牲芯轴,从而仅留下鳍硬掩模材料。诸如侧壁图像转移之类的间距加倍技术是本领域技术人员所公知的,因此在本文中不再进一步描述。也可以使用光刻和刻蚀移除不想要的鳍硬掩模图案。用来移除不想要的鳍硬掩模图案的刻蚀技术可以被选择成优选地移除硬掩模而不移除在前端工序(FEOL)结构中的其他膜(尤其是硅)。该技术也应当与光刻地限定的掩模材料(诸如,光致抗蚀剂)兼容。该工艺的示例包括但不限于在氮化硅(SiN)硬掩模的情况下基于碳氟化合物的RIE工艺或者在HfO2或者TaN的情况下基于BCl3的RIE工艺。
在又一实施例中,可以通过使用定向自组装来制造鳍硬掩模,该技术使用双嵌段共聚物和适当的模板方案。例如,可以使用旋涂或者基于CVD的技术而在鳍硬掩模材料上沉积含碳层和硬掩模层。含碳层的示例包括但不限于通过CVD沉积的非晶碳或者通过自旋铸模沉积的有机平面化层。硬掩模层的示例包括但不限于通过低温CVD、PECVD或者ALD沉积的二氧化硅膜、氮化物膜或者氮氧化物膜。附加地,该层可以包括通过自旋涂覆沉积的含硅或者含钛的ARC层或者通过ALD沉积的TaN、HfO2或者氧化铝(Al2O3)膜。一旦这些膜在适当位置,则可以使用适当中和层的光刻和化学外延在硬掩模层的表面上形成模板图案。光刻图案可以被溶解,从而揭露下层硬掩模层。聚苯乙烯(PS)和聚甲基丙烯酸甲酯(PMMA)的双嵌段共聚物可以自旋铸模在表面上,并且被退火,以形成交替的PS和PMMA的聚合物薄板。可以通过调整聚合物的分子权重来调节PS-PMMA图案的周期,从而产生具有间距从约20nm到约50nm的有序图案。可以使用选择性RIE工艺将PMMA从图案中移除。在形成该图案之后,该图案可以被刻蚀成在有机平面化层顶上的硬掩模层。后续图案可以被转移到如上所述的硬掩模图案中。如上所述,可以利用进一步的光刻和刻蚀移除图案的不想要的区域。
类似地,在图2A和图3A示出的示例性实施例(其中使用STI来限定有源区的实施例)中,在有源层106上限定多个鳍硬掩模。可以使用以上刚描述的相同技术来形成鳍硬掩模,并且因此如在图3B中所示的那样,鳍硬掩模可以具有与以上结合图2B的描述而描述的相同的成分(例如,双层氧化物(例如,SiO2)/氮化物硬掩模)和尺度。
如图3B所示,在台面隔离的情况下,现在可以执行隔离步骤(如果在硬掩模形成之前还未执行),以在有源层106中限定有源区。根据一个示例性实施例,通过首先在有源层106的待用作器件的有源区的部分上形成电介质硬掩模(未示出)来执行台面隔离。然后例如使用RIE移除有源层106的在有源区之外的未受电介质硬掩模保护的部分(有源层106的被移除的这些部分对应于器件的非有源区)。
接着,为了开始大马士革栅极工艺,形成虚设栅极。虚设栅极形成工艺包括首先在有源层106上沉积虚设栅极材料堆叠,并且然后图案化这些材料以在鳍硬掩模的中心之上形成虚设栅极。也就是说,根据一个示例性实施例,虚设栅极材料堆叠包括在有源层上的氧化物层(充当用于虚设栅极刻蚀的刻蚀阻止物,在图4A中示出为氧化物层402或者在图4B中示出为氧化物层410,参见下文)以及在氧化物层上的多晶Si层。可以在多晶Si层上形成氮化硅硬掩模层(不被视为虚设栅极的一部分,由于其用于保护虚设栅极的顶部不受影响虚设栅极材料的工艺(诸如RIE、外延硅生长或者硅化)的影响,并且稍后在工艺中将从虚设栅极的顶部移除)。仅通过示例,可以通过热氧化有源层106的露出表面或者可以通过使用例如CVD或者ALD在有源层106上沉积而形成氧化物层。在任何一种情况中,氧化物层具有从约0.5nm到约2nm的厚度。可以使用CVD在氧化物层上并且在鳍硬掩模之上沉积多晶Si层,该多晶Si层的厚度为从约40nm到约200nm。可以使用CVD在多晶Si层上沉积氮化硅硬掩模层,该氮化硅硬掩模层的厚度为从约10nm到约100nm。
此外,由于虚设栅极材料被沉积在鳍硬掩模之上,而不是在平坦表面上,因此期望在沉积之后(例如,使用CMP)平面化材料层之一以便减小表面状态。例如,在沉积多晶Si层之后,可以(例如,使用CMP)平面化多晶Si层以便提供平坦表面,在该平坦表面上沉积氮化硅硬掩模层。
接着,材料堆叠被图案化以形成虚设栅极404(图4A)和412(图4B)。通过使用光刻(即光致抗蚀剂堆叠的光刻图案化)和刻蚀(即,RIE)来实现图案化,从而导致除了多晶Si层的中心地位于鳍硬掩模之上的中心部分之外的所有多晶Si层(使用氧化物层402或者410作为刻蚀阻止物)的移除,该中心部分为虚设栅极404(图4A)/412(图4B)。氮化硅硬掩模层在工艺中也被图案化,从而在虚设栅极404/412的顶部分别形成氮化硅硬掩模406/414。如以上强调的那样,氮化硅硬掩模将用于保护虚设栅极的顶部,并且稍后在工艺中移除。根据一个示例性实施例,虚设栅极404或者412具有从约40nm到约200nm的高度(在图4A中示出为高度404H,或者在图4B中示出为高度412H),和从约5nm到约45nm的长度(在图4A中示出为长度404L,或者在图4B中示出为长度412L)。注意到,鳍硬掩模的未由虚设栅极覆盖的部分(即,鳍硬掩模的从虚设栅极之下延伸出来的部分)可以使用附加且随后的刻蚀步骤移除。然而,该步骤是可选的。保留鳍硬掩模的未由虚设栅极覆盖的部分可能是有利的,这是因为它们可以用来给器件的源极区和漏极区引入自对准纹理。可以使用RIE、湿法化学刻蚀、蒸发刻蚀或者这些技术的某些组合来移除鳍硬掩模的这些部分。
虚设栅极限定有源层106的一部分,在该部分中将形成鳍(参见下文),鳍用作器件的沟道区域。有源层106的未由虚设栅极覆盖的部分(在本文中也称为在虚设栅极之外)将用作器件的源极区和漏极区。现在将使用本领域技术人员公知的任何适当的技术来制造源极区和漏极区,这包括例如使用间隔物、离子注入、源极/漏极凹陷、嵌入式源极/漏极材料的外延生长、活化退火和/或硅化物形成。
例如,接着图4A(其中STI用来限定有源区的实施例),图5A图示了在源极/漏极区502和504中执行离子注入。如图5A中所示,离子注入通过可选的间隔物506而从沟道区域偏移,间隔物506已在虚设栅极404和氮化硅硬掩模406的侧壁上形成。间隔物506也可能是期望的,以便保护虚设栅极材料免受诸如硅化或者外延之类的后续工艺的影响(参见下文)。可以使用本领域已知的任何常规工艺来制造间隔物506,并且间隔物506可以由任何适当的间隔物材料(诸如氮化物材料)形成。根据一个示例性实施例,利用掺杂剂使用自上而下深注入来掺杂源极/漏极区502和504。适当的掺杂剂包括但不限于硼、砷和磷。被注入到源极区和漏极区中的掺杂剂可以在此时利用高温快速退火(RTA)活化或者在制造工艺的任何后续时刻活化,这依赖于具体置换型栅极流程所需的热稳定性和热需求。例如,可以在有或者没有硅化物在适当位置,或者在没有硅化物在适当位置而一个或者多个电介质膜存在于源极/漏极区上的情况下执行RTA。可以执行相同的工艺来形成在台面隔离实施例中的源极/漏极区512和514(即,包括在虚设栅极412和氮化硅硬掩模414的侧壁上形成间隔物以从沟道区域偏移离子注入,并且在后续处理步骤期间保护虚设栅极)。参见接着图4B的图5B。刻蚀阻止氧化物层402(图5A)或者刻蚀阻止氧化物层410(图5B)的部分存在于虚设栅极堆叠之下,但是可以(例如使用多种常规湿法清洁的任何一种,诸如在RIE之后的氢氟酸(HF)浸没来)从源极区和漏极区移除。
作为对照,利用诸如在Schulz(参见上文)中描述的常规的工艺流程,在形成栅极之前,不采用虚设栅极来制造源极/漏极区。此外,如上所述,常规工艺流程并不用于以制造所需的精度和一致性(尤其是在缩小的工艺技术的背景中)形成鳍。
先形成硅化物或者后形成硅化物的工艺可以用来在源极/漏极区上形成硅化物区域。利用前者,在工艺中的此时可以在源极/漏极区502/504(图6A)或512/514(图6B)上形成硅化物区域602(图6A)或者604(图6B)。由于硅化物材料的热约束和诸如栅极堆叠形成之类的其他步骤的热需求,可以优选地仅在最终栅极金属已经被置于适当位置之后,使用例如在电介质层中创建的沟槽的底部处形成的硅化物来形成硅化物层,此后称为沟槽硅化物。这是后形成硅化物途径。与贯穿本描述一样,接着图5A的图6A表示其中STI用来限定有源区的实施例,而接着图5B的图6B表示其中台面隔离用来限定有源区的实施例。
接着,在虚设栅极周围沉积电介质填料层702(图7A)或者704(图7B)。与贯穿本描述一样,接着图6A的图7A表示其中STI用来限定有源区的实施例,而接着图6B的图7B表示其中台面隔离用来限定有源区的实施例。填料层702(图7A)或者704(图7B)可以包括任何适当的填料材料,包括通过CVD、PECVD、ALD或者旋涂技术或者这些技术的任何组合沉积的电介质材料(诸如SiO2)。
然后使用CMP来平面化电介质填料材料,由此露出虚设栅极的顶部。可以在该工艺中移除硬掩模406或者414以及间隔物506或者516的在虚设栅极之上的部分。如果某些硬掩模和/或间隔物材料保留,则可以使用利用RIE、湿法化学或者蒸发刻蚀的后续刻蚀步骤移除保留的材料。例如,在提升温度下的磷酸可以用来实现SiN膜相对于SiO2的高选择性移除。分别参见图6A和图6B。因此,电介质填料层702或者704将具有分别等于虚设栅极404或者412的高度的厚度,例如从约40nm到约200nm。
接着,移除虚设栅极404/412以在填料层702/704中形成栅极沟槽802/804。分别参见图8A和图8B。与贯穿本描述一样,接着图7A的图8A表示其中STI用来限定有源区的实施例,而接着图7B的图8B表示其中台面隔离用来限定有源区的实施例。由于沟槽802/804是虚设栅极404/412的负图案,沟槽802/804也中心地位于鳍硬掩模之上。分别参见图8A和图8B。根据一个示例性实施例,栅极沟槽802/804将FinFET器件的鳍沟道区域与器件的源极区和漏极区区分开来。
可以使用湿法化学刻蚀或者干法刻蚀来移除虚设栅极。根据一个示例性实施例,湿法刻蚀(诸如TMAH或者暖氨刻蚀)或者诸如RIE的干法刻蚀可以用来分别相对于填料材料702/704选择性地移除虚设栅极404/412。氧化物层402(图8A)或者410(图8B)在虚设栅极移除工艺期间充当刻蚀阻止物。
在公告授权给Chang等人的、名称为“Fin Field Effect TransistorDevices with Self-Aligned Source and Drain Regions”的美国专利No.7,923,337以及在由Chang等人递交的名称为“Fin Field EffectTransistor Devices with Self-Aligned Source and Drain Regions”的美国专利申请公开No.2009/0302372中描述了采用结合FinFET架构的虚设栅极结构的技术,上述两个文献的内容通过引用并入本文。使用虚设栅极是本技术的重要方面。首先,虚设栅极允许在形成填料层之前布置鳍硬掩模,从而当虚设栅极被移除时,所揭露的鳍硬掩模已存在于沟槽内。鳍硬掩模对于将更精确和更均匀的鳍填料到鳍区域中是重要的。由于在沟槽内的构形,在没有鳍硬掩模存在的情况下,在沟槽内图案化具有直立侧壁的良好限定的鳍即使不是根本不可能,也将是极其困难的。如上所述,最小化鳍尺度的变化是期望的,这是由于变化可以改变器件阈值。第二,虚设栅极允许在引入最终(置换型)栅极材料之前制造源极/漏极区。这一序列允许使用对最终栅极材料有害的、诸如源极/漏极掺杂剂活化之类的高温步骤。
接着,在有源层106中形成鳍。分别参见图9A和图9B。与贯穿本描述一样,接着图8A的图9A表示其中STI用来限定有源区的实施例,而接着图8B的图9B表示其中台面隔离用来限定有源区的实施例。
根据一个示例性实施例,使用各向异性(例如,硅)RIE来移除有源层106的在沟槽802/804中的未由鳍硬掩模掩蔽的部分,即部分902/904。分别参见图9A和图9B。BOX 102充当刻蚀阻止物。可以通过在鳍RIE序列中添加穿通步骤或者通过在RIE之前使用短暂的湿法刻蚀来移除氧化物层402/410。
本教导的优点之一在于,仅在沟槽802/804内刻蚀鳍,而不影响器件的在相应的填料层之下的源极/漏极区。此外,以这种方式产生的源极/漏极区将与沟槽802/804自对准,并且因此与将在沟槽中形成的器件栅极自对准(参见下文)。
如上所述,本技术可以用于形成具有从约20nm至约200nm的间距(即,相邻鳍之间的距离),以及从约2nm至约40nm的宽度的鳍。此外,每个器可以具有从约10nm至约50nm的高度。
可以在沟槽802/804中可选地形成内部间隔物。分别参见图10A和图10B。与贯穿本描述一样,接着图9A的图10A表示其中STI用来限定有源区的实施例,而接着图9B的图10B表示其中台面隔离用来限定有源区的实施例。这一步骤是可选的。将间隔物置于将为器件的源极/漏极区与器件栅极(将形成在沟槽802/804中,参见下文)之间将有助于最小化在完成的器件中的寄生电容,但是对于在升高的源极/漏极(RSD)外延生长或者硅化(即如在典型的FinFET流程中)期间防止栅极到源极/漏极短路并非必要。
根据一个示例性实施例,通过首先分别将氮化物层保形地沉积在沟槽802/804中来形成内部间隔物1002/1004。然后使用各向异性氮化物RIE来在氮化物层中限定间隔物1002/1004。需要长时间过刻蚀来清理鳍的侧壁,从而使得间隔物仅沿沟槽的侧壁存在,而不在鳍上存在。间隔物1002/1004的最小下拉(pulldown)因此是鳍和保留的鳍硬掩模层的高度。例如,过刻蚀的量移除介于移除整个氮化物层所需的刻蚀时间的约百分之五十(%)与约80%之间。在该刻蚀期间,也可以移除鳍硬掩模的氮化物部分(而氧化物,例如SiO2部分保留)。分别参见图9A和图9B。
接着,可选地,可以使用例如各向同性RIE移除保留在鳍之上的任何鳍硬掩模。参见图11A和图11B。与贯穿本描述一样,接着图10A的图11A表示其中STI用来限定有源区的实施例,而接着图10B的图11B表示其中台面隔离用来限定有源区的实施例。然而,移除鳍硬掩模并非在所有情形中都是必须的。例如,如果期望其沟道仅在鳍的竖直表面上的双栅器件结构(即finFET),则鳍硬掩模可以保留在鳍的顶部的适当位置。如果期望其沟道在鳍的竖直表面两者上并且在顶部表面上的三栅器件结构(即三栅),则也可以移除鳍硬掩模。
可选地,如果期望其沟道在鳍的所有四侧上的栅极全部环绕器件结构,则BOX 102的在鳍之间的沟槽中的露出部分1202/1204可以被底切/凹陷。分别参见图12A和图12B。与贯穿本描述一样,接着图11A的图12A表示其中STI用来限定有源区的实施例,而接着图11B的图12B表示其中台面隔离用来限定有源区的实施例。该步骤是可选的。根据一个示例性实施例,使用诸如HF的各向同性湿法刻蚀底切BOX 102的部分1202/1204。该工艺使沟道区域中的每个鳍周围的连续表面露出。然后可以形成置换型栅极,以便完全包围每个鳍(即,栅极全部环绕配置)。例如,参见图15A和图15B,如下所述。
此外,可以可选地执行沟道表面优化工艺,以改善表面电荷迁移率并且减小界面陷阱。仅通过示例,可以在鳍的露出表面上热生长薄的牺牲氧化物层1302/1304(即,覆盖鳍的露出表面,从而鳍在该描绘中不可见),并且然后剥离以与可能在等离子体处理期间被破坏的鳍沟道的表面层一起移除,从而创建平滑的沟道表面。分别参见图13A和图13B。备选地,可以在存在诸如氢气(H2)之类的气体下执行从约600℃到约900℃的退火,以允许在鳍沟道的表面处的受限的原子回流,从而修复损伤的地方或者创建平滑的沟道表面。尽管图13A/13B接着图11A/11B,但是在图13A/13B中图示的相同工艺可以在分别在图12A/12B中示出的可选的栅极全部环绕的实施例中执行。与贯穿本描述一样,图13A表示其中STI用来限定有源区的实施例,而图13B表示其中台面隔离用来限定有源区的实施例。
最后,形成置换型栅极堆叠1402/1404。分别参见图14A和图14B。与贯穿本描述一样,接着图13A的图14A表示其中STI用来限定有源区的实施例,而接着图13B的图14B表示其中台面隔离用来限定有源区的实施例。为了形成置换型栅极堆叠1402/1404,通过序列沉积工艺在沟槽802/804中和在电介质填料材料之上两者形成置换型栅极材料堆叠。具体而言,根据一个示例性实施例,置换型栅极材料堆叠包括栅极电介质(以将栅极与鳍沟道隔开)和在栅极电介质上的栅极金属。因此,在该示例中,置换型栅极形成工艺始于首先在沟槽802/804中并且在电介质填料材料之上沉积适当的栅极电介质。适当的栅极电介质包括但不限于SiO2和/或HfO2。接着,一种或者多种适当的栅极金属被沉积在栅极电介质之上(即,从而置换型栅极材料堆叠存在于沟槽802/804中,并且在电介质填料材料之上)。在一个示例性实施例中,与填充金属组合的功函数设置金属用作栅极金属。例如,功函数设置金属首先沉积在栅极电介质上。适当的功函数设置栅极金属包括但不限于氮化钛(TiN)和/或TaN。接着,在功函数设置金属上沉积填充金属。适当的填充金属包括但不限于钨(W)和/或铝(Al)。可以例如使用CVD或者ALD来沉积置换型栅极材料堆叠中的每个层。
置换型栅极材料的所沉积的堆叠将过填充沟槽802/804。根据一个示例性实施例,使用CMP将该过量材料从置换型栅极移除(即修剪),以便移除未在栅极沟槽内部的所沉积的置换型栅极材料(其也可能移除某些电介质材料702/704,分别参见图14A和图14B)。结果是部分地包围每个鳍的置换型栅极堆叠。在全部环栅的情况下,栅极完全包围每个鳍的至少一部分。
在图15A和图15B中图示示出了可选的全部环栅配置。尽管图15A和图15B分别接着图12A和图12B,但是将理解,任何中间步骤,诸如针对在图13A和图13B中的示例示出的步骤,可以以上述相同的方式执行。与贯穿本描述一样,图15A表示其中使用STI来限定有源区的实施例,而图15B表示其中使用台面隔离来限定有源区的实施例。如以上结合图12A和图12B的描述所描述的那样,如果期望沟道在鳍的全部四侧的栅极全部环绕器件结构,则BOX 102的在鳍之间的在沟道中的露出部分将被底切/凹陷,以使沟道区域中的每个鳍周围的连续表面露出。接着该可选实施例,图15A和图15B图示了置换型栅极堆叠一旦如上形成则将怎样完全包围每个鳍的至少一部分(栅极全部环绕置换型栅极在图15A和图15B中分别标记为1402’和1404’,以便将它们与在图14A和图14B中的并未完全包围每个鳍的Ω形状的置换型栅极堆叠区分,然而,两种类型的置换型栅极堆叠均以上述相同的方式形成(并且被处理,例如,修剪))。
尽管在本文中已经描述了本发明的示例性实施例,但是将理解的是,本发明并不限于这些具体实施例,而是本领域技术人员可以做出各种改变和修改而不脱离本发明的范围。

Claims (25)

1.一种用于制造场效应晶体管器件的方法,包括以下步骤:
提供具有绝缘体上有源层的晶片;
在所述有源层上图案化多个鳍硬掩模;
将虚设栅极布置在所述鳍硬掩模的中心部分之上,其中所述有源层的、在所述虚设栅极之外的部分将用作所述器件的源极区和漏极区;
将一种或者多种掺杂剂注入到所述源极区和所述漏极区中;
在所述虚设栅极的周围沉积电介质填料层;
移除所述虚设栅极,以在所述电介质填料层中形成沟槽,其中所述鳍硬掩模存在于所述沟槽中的所述有源层上;
使用所述鳍硬掩模在所述沟槽内的所述有源层中蚀刻多个鳍,其中所述鳍将用作所述器件的沟道区域;
使用快速热退火活化注入到所述源极区和所述漏极区中的所述掺杂剂;
在所述沟槽中形成置换型栅极,其中在于所述沟槽中形成置换型栅极的步骤之前执行活化注入到所述源极区和所述漏极区中的掺杂剂的步骤。
2.根据权利要求1所述的方法,还包括以下步骤:
通过移除所述有源层的、在所述有源区之外的部分来在所述有源层中限定至少一个有源区。
3.根据权利要求2所述的方法,还包括以下步骤:
利用电介质材料置换所述有源层的被移除的部分。
4.根据权利要求1所述的方法,还包括以下步骤:
移除所述鳍硬掩模的、从所述虚设栅极之下延伸出来的部分。
5.根据权利要求1所述的方法,还包括以下步骤:
平面化所述电介质填料层,以露出所述虚设栅极的顶部。
6.根据权利要求1所述的方法,其中所述虚设栅极包括多晶硅。
7.根据权利要求1所述的方法,其中每个所述鳍硬掩模是双层硬掩模结构,其包括氮化物鳍硬掩模层和氧化物鳍硬掩模层。
8.根据权利要求1所述的方法,还包括以下步骤:
在所述虚设栅极上形成硬掩模。
9.根据权利要求1所述的方法,还包括以下步骤:
在所述虚设栅极的相对侧上形成间隔物。
10.根据权利要求1所述的方法,其中使用湿法化学刻蚀或者干法化学刻蚀来移除所述虚设栅极。
11.根据权利要求1所述的方法,其中所述鳍具有从约20nm至约200nm的间距,并且每个鳍具有从约20nm至约40nm的宽度。
12.根据权利要求1所述的方法,还包括以下步骤:
从所述鳍的顶部移除所述鳍硬掩模。
13.根据权利要求12所述的方法,还包括以下步骤:
在所述鳍的露出表面上生长牺牲氧化物层;以及
剥离所述牺牲氧化物层,以从所述鳍移除任何表面损伤。
14.根据权利要求1所述的方法,还包括以下步骤:
使所述绝缘体的、在所述鳍之间的所述沟槽中的露出部分凹陷。
15.根据权利要求14所述的方法,其中使用各向同性湿法刻蚀使所述绝缘体的露出部分凹陷。
16.根据权利要求1所述的方法,还包括以下步骤:
在所述鳍上形成栅极电介质,所述栅极电介质将所述替换型栅极与所述鳍隔开。
17.根据权利要求1所述的方法,其中所述替换型栅极包括至少一个功函数设置金属和至少一个填充金属。
18.根据权利要求1所述的方法,其中所述功函数设置金属包括氮化钛和氮化钽中的一种或者多种,并且其中所述填充金属包括钨和铝中的一种或者多种。
19.一种场效应晶体管器件,包括:
源极区;
漏极区;
连接所述源极区和所述漏极区的多个鳍,其中所述鳍用作所述器件的沟道区域,并且其中所述鳍具有从约20nm至约200nm的间距,并且每个鳍具有从约2nm至约40nm的宽度;
金属栅极,其至少部分围绕每个鳍,其中所述源极区和所述漏极区与所述金属栅极自对准;以及
环绕所述金属栅极的电介质填料层。
20.根据权利要求19所述的器件,其中所述金属栅极完全包围所述每个鳍的至少一部分。
21.根据权利要求19所述的器件,其中所述金属栅极存在于所述电介质填料层中的沟槽内,所述器件还包括:
在所述沟槽的侧壁上的内部间隔物。
22.根据权利要求19所述的器件,还包括在所述鳍上的栅极电介质,所述栅极电介质将所述鳍与所述金属栅极隔开。
23.根据权利要求22所述的器件,其中所述栅极电介质包括氧化铪。
24.根据权利要求19所述的器件,其中所述金属栅极包括至少一个功函数设置金属和至少一个填充金属。
25.根据权利要求24所述的器件,其中所述功函数设置金属包括氮化钛和氮化钽中的一种或者多种,并且其中所述填充金属包括钨和铝中的一种或者多种。
CN201210189904.4A 2011-06-10 2012-06-08 后形成鳍的置换型金属栅极finfet Active CN102820230B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/157,812 US8637359B2 (en) 2011-06-10 2011-06-10 Fin-last replacement metal gate FinFET process
US13/157,812 2011-06-10

Publications (2)

Publication Number Publication Date
CN102820230A true CN102820230A (zh) 2012-12-12
CN102820230B CN102820230B (zh) 2016-01-27

Family

ID=47220708

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210189904.4A Active CN102820230B (zh) 2011-06-10 2012-06-08 后形成鳍的置换型金属栅极finfet

Country Status (3)

Country Link
US (2) US8637359B2 (zh)
CN (1) CN102820230B (zh)
DE (1) DE102012207913B4 (zh)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915372A (zh) * 2013-01-08 2014-07-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN103972093A (zh) * 2013-01-30 2014-08-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管牺牲栅极的制作方法
CN104022036A (zh) * 2013-02-28 2014-09-03 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104124159A (zh) * 2013-04-23 2014-10-29 中国科学院微电子研究所 半导体器件制造方法
CN104425279A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法、半导体器件
CN104616991A (zh) * 2013-11-05 2015-05-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104637819A (zh) * 2013-11-13 2015-05-20 格罗方德半导体公司 形成FinFET装置上的替代栅极结构及鳍片的方法以及装置
CN104952918A (zh) * 2015-04-29 2015-09-30 上海华力微电子有限公司 一种鳍式场效应晶体管的制造方法
CN105977153A (zh) * 2016-05-17 2016-09-28 上海华力微电子有限公司 超浅结退火方法
CN106997846A (zh) * 2016-01-26 2017-08-01 美光科技公司 形成图案的方法
TWI602299B (zh) * 2012-12-13 2017-10-11 萬國商業機器公司 具有免合倂鰭片之鰭式電晶體
CN107248503A (zh) * 2013-08-22 2017-10-13 三星电子株式会社 具有3d 沟道的半导体器件及其制造方法
CN104616991B (zh) * 2013-11-05 2018-06-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN108885974A (zh) * 2016-03-28 2018-11-23 英特尔公司 用于光刻边缘放置误差提前矫正的对齐节距四等分图案化
CN109037072A (zh) * 2014-10-30 2018-12-18 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN109214510A (zh) * 2017-07-05 2019-01-15 三星电子株式会社 神经形态多位式数字权重单元
CN110957224A (zh) * 2018-09-27 2020-04-03 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN111128732A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 鳍式场效应晶体管器件和方法
CN112017966A (zh) * 2019-05-31 2020-12-01 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN112768529A (zh) * 2021-01-28 2021-05-07 福建省晋华集成电路有限公司 一种半导体器件制备方法

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
CN102768957B (zh) * 2011-05-06 2016-09-14 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
US20120302025A1 (en) * 2011-05-27 2012-11-29 Institute of Microelectronics, Chinese Academy of Sciences Method for Manufacturing a Semiconductor Structure
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US8580643B2 (en) 2011-08-24 2013-11-12 Globalfoundries Inc. Threshold voltage adjustment in a Fin transistor by corner implantation
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
EP3174106A1 (en) 2011-09-30 2017-05-31 Intel Corporation Tungsten gates for non-planar transistors
WO2013048516A1 (en) * 2011-09-30 2013-04-04 Intel Corporation Capping dielectric structure for transistor gates
US9637810B2 (en) 2011-09-30 2017-05-02 Intel Corporation Tungsten gates for non-planar transistors
WO2013048524A1 (en) 2011-10-01 2013-04-04 Intel Corporation Source/drain contacts for non-planar transistors
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US8697501B1 (en) * 2012-12-04 2014-04-15 Globalfoundries Inc. Semiconductor device having a gate formed on a uniform surface and method for forming the same
CN103855026B (zh) * 2012-12-06 2017-04-19 中国科学院微电子研究所 FinFET及其制造方法
US9012270B2 (en) * 2013-03-15 2015-04-21 Globalfoundries Inc. Metal layer enabling directed self-assembly semiconductor layout designs
CN110047752B (zh) * 2013-03-15 2023-03-17 索尼公司 利用硬掩模层的纳米线晶体管制造
US9263554B2 (en) * 2013-06-04 2016-02-16 International Business Machines Corporation Localized fin width scaling using a hydrogen anneal
US9178043B2 (en) * 2013-06-21 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Non-planar transistors with replacement fins and methods of forming the same
US9093534B2 (en) 2013-07-29 2015-07-28 International Business Machines Corporation Dielectric filler fins for planar topography in gate level
US9276115B2 (en) * 2013-08-29 2016-03-01 Globalfoundries Inc. Semiconductor devices and methods of manufacture
US9153473B2 (en) 2013-09-20 2015-10-06 Globalfoundries Singapore Pte. Ltd. Wafer processing
US9129910B2 (en) * 2013-09-20 2015-09-08 Globalfoundries Singapore Pte. Ltd. Wafer processing
KR102136234B1 (ko) 2013-10-03 2020-07-21 인텔 코포레이션 나노와이어 트랜지스터들을 위한 내부 스페이서들 및 그 제조 방법
EP2866264A1 (en) 2013-10-22 2015-04-29 IMEC vzw Method for manufacturing a field effect transistor of a non-planar type
US9263349B2 (en) * 2013-11-08 2016-02-16 Globalfoundries Inc. Printing minimum width semiconductor features at non-minimum pitch and resulting device
US9412603B2 (en) 2013-11-19 2016-08-09 Applied Materials, Inc. Trimming silicon fin width through oxidation and etch
US9224654B2 (en) 2013-11-25 2015-12-29 International Business Machines Corporation Fin capacitor employing sidewall image transfer
TWI642186B (zh) 2013-12-18 2018-11-21 日商半導體能源研究所股份有限公司 半導體裝置
EP2887399B1 (en) * 2013-12-20 2017-08-30 Imec A method for manufacturing a transistor device and associated device
EP3087616A4 (en) * 2013-12-23 2017-08-02 Intel Corporation Method of fabricating semiconductor structures on dissimilar substrates
US10204989B2 (en) 2013-12-23 2019-02-12 Intel Corporation Method of fabricating semiconductor structures on dissimilar substrates
US9257537B2 (en) 2013-12-27 2016-02-09 International Business Machines Corporation Finfet including improved epitaxial topology
US9252243B2 (en) 2014-02-07 2016-02-02 International Business Machines Corporation Gate structure integration scheme for fin field effect transistors
US20150228503A1 (en) * 2014-02-07 2015-08-13 Applied Materials, Inc. Hardmask trimming in semiconductor fin patterning
US9231072B2 (en) 2014-02-12 2016-01-05 International Business Machines Corporation Multi-composition gate dielectric field effect transistors
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
US9391141B2 (en) 2014-02-24 2016-07-12 Imec Vzw Method for producing fin structures of a semiconductor device in a substrate
US9543407B2 (en) * 2014-02-27 2017-01-10 International Business Machines Corporation Low-K spacer for RMG finFET formation
US9171935B2 (en) 2014-03-07 2015-10-27 Globalfoundries Inc. FinFET formation with late fin reveal
US9196711B2 (en) 2014-03-07 2015-11-24 International Business Machines Corporation Fin field effect transistor including self-aligned raised active regions
US9231080B2 (en) * 2014-03-24 2016-01-05 International Business Machines Corporation Replacement metal gate
US9209095B2 (en) * 2014-04-04 2015-12-08 International Business Machines Corporation III-V, Ge, or SiGe fin base lateral bipolar transistor structure and method
US9780213B2 (en) * 2014-04-15 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a reversed T-shaped profile in the metal gate line-end
US9281303B2 (en) 2014-05-28 2016-03-08 International Business Machines Corporation Electrostatic discharge devices and methods of manufacture
KR102202753B1 (ko) 2014-08-11 2021-01-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9455323B2 (en) 2014-08-28 2016-09-27 International Business Machines Corporation Under-spacer doping in fin-based semiconductor devices
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9312186B1 (en) * 2014-11-04 2016-04-12 Taiwan Semiconductor Manufacturing Company Limited Method of forming horizontal gate all around structure
US10037992B1 (en) 2014-12-22 2018-07-31 Altera Corporation Methods and apparatuses for optimizing power and functionality in transistors
KR102262834B1 (ko) 2014-12-24 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
US9673056B2 (en) * 2015-03-16 2017-06-06 International Business Machines Corporation Method to improve finFET cut overlay
US9496338B2 (en) * 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
CN106158637B (zh) * 2015-03-31 2019-04-26 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
CN106158638B (zh) * 2015-04-01 2019-03-29 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9306001B1 (en) 2015-04-14 2016-04-05 International Business Machines Corporation Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices
US9287135B1 (en) * 2015-05-26 2016-03-15 International Business Machines Corporation Sidewall image transfer process for fin patterning
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9514263B1 (en) 2015-06-08 2016-12-06 International Business Machines Corporation Chemo epitaxy mask generation
KR102399027B1 (ko) 2015-06-24 2022-05-16 삼성전자주식회사 반도체 장치
KR102410146B1 (ko) * 2015-06-26 2022-06-16 삼성전자주식회사 반도체 장치의 제조 방법
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9601366B2 (en) 2015-07-27 2017-03-21 International Business Machines Corporation Trench formation for dielectric filled cut region
US9484264B1 (en) 2015-07-29 2016-11-01 International Business Machines Corporation Field effect transistor contacts
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9425105B1 (en) * 2015-09-15 2016-08-23 International Business Machines Corporation Semiconductor device including self-aligned gate structure and improved gate spacer topography
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US9536750B1 (en) 2015-09-30 2017-01-03 International Business Machines Corporation Method for fin formation with a self-aligned directed self-assembly process and cut-last scheme
US9496371B1 (en) 2015-10-07 2016-11-15 International Business Machines Corporation Channel protection during fin fabrication
US10431583B2 (en) 2016-02-11 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
US9653547B1 (en) 2016-03-17 2017-05-16 International Business Machines Corporation Integrated etch stop for capped gate and method for manufacturing the same
US9799514B1 (en) 2016-04-07 2017-10-24 Globalfoundries Inc. Protecting, oxidizing, and etching of material lines for use in increasing or decreasing critical dimensions of hard mask lines
US10068766B2 (en) 2016-04-07 2018-09-04 Globalfoundries Inc. Oxidizing and etching of material lines for use in increasing or decreasing critical dimensions of hard mask lines
US20170294354A1 (en) * 2016-04-07 2017-10-12 Globalfoundries Inc. Integration of nominal gate width finfets and devices having larger gate width
US10170591B2 (en) * 2016-06-10 2019-01-01 International Business Machines Corporation Self-aligned finFET formation
US9876097B2 (en) * 2016-06-14 2018-01-23 International Business Machines Corporation Selectively formed gate sidewall spacer
US9853127B1 (en) 2016-06-22 2017-12-26 International Business Machines Corporation Silicidation of bottom source/drain sheet using pinch-off sacrificial spacer process
US10910223B2 (en) 2016-07-29 2021-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Doping through diffusion and epitaxy profile shaping
DE102016121443A1 (de) * 2016-07-29 2018-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Dotierung durch Diffusion und Epitaxie-Profilformen
US10297614B2 (en) * 2016-08-09 2019-05-21 International Business Machines Corporation Gate top spacer for FinFET
JP6670707B2 (ja) 2016-08-24 2020-03-25 東京エレクトロン株式会社 基板処理方法
US10083961B2 (en) 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US9698251B1 (en) 2016-09-26 2017-07-04 International Business Machines Corporation Fin reveal last for finfet
US9754798B1 (en) 2016-09-28 2017-09-05 International Business Machines Corporation Hybridization fin reveal for uniform fin reveal depth across different fin pitches
US10128239B2 (en) 2016-10-17 2018-11-13 International Business Machines Corporation Preserving channel strain in fin cuts
TWI749100B (zh) 2016-11-14 2021-12-11 日商東京威力科創股份有限公司 奈米線fet裝置用閘極間隔件的形成方法
US10381267B2 (en) 2017-04-21 2019-08-13 International Business Machines Corporation Field effect device with reduced capacitance and resistance in source/drain contacts at reduced gate pitch
US10242867B2 (en) * 2017-05-18 2019-03-26 Globalfoundaries Inc. Gate pickup method using metal selectivity
US10374066B2 (en) 2017-07-11 2019-08-06 International Business Machines Corporation Fin and shallow trench isolation replacement to prevent gate collapse
US10186599B1 (en) 2017-07-20 2019-01-22 International Business Machines Corporation Forming self-aligned contact with spacer first
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
KR102403723B1 (ko) 2017-12-15 2022-05-31 삼성전자주식회사 반도체 장치 및 그의 제조 방법
US10204781B1 (en) 2018-02-14 2019-02-12 Applied Materials, Inc. Methods for bottom up fin structure formation
US10439047B2 (en) 2018-02-14 2019-10-08 Applied Materials, Inc. Methods for etch mask and fin structure formation
US11101348B2 (en) * 2018-07-25 2021-08-24 Globalfoundries U.S. Inc. Nanosheet field effect transistor with spacers between sheets
US11201060B2 (en) * 2019-04-17 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
CN112071908A (zh) * 2019-06-10 2020-12-11 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110752156A (zh) * 2019-10-28 2020-02-04 中国科学院微电子研究所 一种鳍状结构的制备方法以及半导体器件的制备方法
US11476347B2 (en) 2020-05-20 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Processes for removing spikes from gates
DE102020124588A1 (de) * 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zum entfernen von spitzen von gates
US11757021B2 (en) * 2020-08-18 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with fin-top hard mask and methods for fabrication thereof
KR20220090672A (ko) 2020-12-22 2022-06-30 삼성전자주식회사 반도체 소자
US11562910B2 (en) 2021-03-19 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming thereof
US11791383B2 (en) * 2021-07-28 2023-10-17 Infineon Technologies Ag Semiconductor device having a ferroelectric gate stack

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1534745A (zh) * 2003-03-26 2004-10-06 ̨������·����ɷ����޹�˾ 多栅极晶体管的结构及其制造方法
US20050019993A1 (en) * 2003-07-24 2005-01-27 Deok-Hyung Lee Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
US20060189043A1 (en) * 2005-02-18 2006-08-24 Thomas Schulz Trench-gate electrode for FinFET device
CN101010788A (zh) * 2004-07-06 2007-08-01 英特尔公司 用于制作具有高k栅介电层和金属栅电极的半导体器件的方法
US20090302372A1 (en) * 2007-06-20 2009-12-10 International Business Machines Corporation Fin Field Effect Transistor Devices with Self-Aligned Source and Drain Regions

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US20090321849A1 (en) * 2006-05-23 2009-12-31 Nec Corporation Semiconductor device, integrated circuit, and semiconductor manufacturing method
US7825477B2 (en) * 2007-04-23 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with localized stressor
JP2008282901A (ja) * 2007-05-09 2008-11-20 Sony Corp 半導体装置および半導体装置の製造方法
JP5457045B2 (ja) * 2009-02-12 2014-04-02 パナソニック株式会社 半導体装置及びその製造方法
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
DE102010029527B4 (de) * 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US8647952B2 (en) * 2010-12-21 2014-02-11 Globalfoundries Inc. Encapsulation of closely spaced gate electrode structures
CN102655094B (zh) * 2011-03-04 2015-09-30 中国科学院微电子研究所 一种半导体结构及其制造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1534745A (zh) * 2003-03-26 2004-10-06 ̨������·����ɷ����޹�˾ 多栅极晶体管的结构及其制造方法
US20050019993A1 (en) * 2003-07-24 2005-01-27 Deok-Hyung Lee Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
CN101010788A (zh) * 2004-07-06 2007-08-01 英特尔公司 用于制作具有高k栅介电层和金属栅电极的半导体器件的方法
US20060189043A1 (en) * 2005-02-18 2006-08-24 Thomas Schulz Trench-gate electrode for FinFET device
US20090302372A1 (en) * 2007-06-20 2009-12-10 International Business Machines Corporation Fin Field Effect Transistor Devices with Self-Aligned Source and Drain Regions

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10529858B2 (en) 2012-12-13 2020-01-07 Globalfoundries Inc. FinFET with merge-free fins
TWI602299B (zh) * 2012-12-13 2017-10-11 萬國商業機器公司 具有免合倂鰭片之鰭式電晶體
US9947791B2 (en) 2012-12-13 2018-04-17 Globalfoundries Inc. FinFET with merge-free fins
CN103915372A (zh) * 2013-01-08 2014-07-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN103915372B (zh) * 2013-01-08 2016-08-31 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN103972093B (zh) * 2013-01-30 2018-03-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管牺牲栅极的制作方法
CN103972093A (zh) * 2013-01-30 2014-08-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管牺牲栅极的制作方法
CN104022036A (zh) * 2013-02-28 2014-09-03 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104022036B (zh) * 2013-02-28 2017-06-16 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104124159A (zh) * 2013-04-23 2014-10-29 中国科学院微电子研究所 半导体器件制造方法
CN104124159B (zh) * 2013-04-23 2017-11-03 中国科学院微电子研究所 半导体器件制造方法
CN107248503A (zh) * 2013-08-22 2017-10-13 三星电子株式会社 具有3d 沟道的半导体器件及其制造方法
CN104425279A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法、半导体器件
CN104425279B (zh) * 2013-09-04 2018-03-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法、半导体器件
CN104616991B (zh) * 2013-11-05 2018-06-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104616991A (zh) * 2013-11-05 2015-05-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104637819A (zh) * 2013-11-13 2015-05-20 格罗方德半导体公司 形成FinFET装置上的替代栅极结构及鳍片的方法以及装置
CN109037072A (zh) * 2014-10-30 2018-12-18 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN104952918A (zh) * 2015-04-29 2015-09-30 上海华力微电子有限公司 一种鳍式场效应晶体管的制造方法
CN106997846A (zh) * 2016-01-26 2017-08-01 美光科技公司 形成图案的方法
TWI628508B (zh) * 2016-01-26 2018-07-01 美商美光科技公司 形成圖案的方法
US10157743B2 (en) 2016-01-26 2018-12-18 Micron Technology, Inc. Methods of patterning a target layer
US10707080B2 (en) 2016-01-26 2020-07-07 Micron Technology, Inc. Methods of patterning a target layer
CN106997846B (zh) * 2016-01-26 2019-08-30 美光科技公司 形成图案的方法
CN108885974A (zh) * 2016-03-28 2018-11-23 英特尔公司 用于光刻边缘放置误差提前矫正的对齐节距四等分图案化
CN105977153A (zh) * 2016-05-17 2016-09-28 上海华力微电子有限公司 超浅结退火方法
CN105977153B (zh) * 2016-05-17 2019-09-17 上海华力微电子有限公司 超浅结退火方法
CN109214510A (zh) * 2017-07-05 2019-01-15 三星电子株式会社 神经形态多位式数字权重单元
CN109214510B (zh) * 2017-07-05 2023-07-21 三星电子株式会社 神经形态多位式数字权重单元
CN110957224A (zh) * 2018-09-27 2020-04-03 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11615965B2 (en) 2018-09-27 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor FinFET device and method
CN110957224B (zh) * 2018-09-27 2023-12-19 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN111128732A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 鳍式场效应晶体管器件和方法
CN111128732B (zh) * 2018-10-31 2023-05-23 台湾积体电路制造股份有限公司 鳍式场效应晶体管器件和方法
CN112017966A (zh) * 2019-05-31 2020-12-01 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN112768529A (zh) * 2021-01-28 2021-05-07 福建省晋华集成电路有限公司 一种半导体器件制备方法
CN112768529B (zh) * 2021-01-28 2022-09-23 福建省晋华集成电路有限公司 一种半导体器件制备方法

Also Published As

Publication number Publication date
US20140117464A1 (en) 2014-05-01
US20120313170A1 (en) 2012-12-13
US8969965B2 (en) 2015-03-03
DE102012207913B4 (de) 2014-05-15
CN102820230B (zh) 2016-01-27
DE102012207913A1 (de) 2012-12-13
US8637359B2 (en) 2014-01-28

Similar Documents

Publication Publication Date Title
CN102820230A (zh) 后形成鳍的置换型金属栅极finfet
US11456354B2 (en) Bulk nanosheet with dielectric isolation
US8871584B2 (en) Replacement source/drain finFET fabrication
TWI241718B (en) Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US9136178B2 (en) Method for fabricating a finFET in a large scale integrated circuit
US7456068B2 (en) Forming ultra-shallow junctions
CN105448835B (zh) 半导体装置
US6642090B1 (en) Fin FET devices from bulk semiconductor and method for forming
US9023697B2 (en) 3D transistor channel mobility enhancement
US9105662B1 (en) Method and structure to enhance gate induced strain effect in multigate device
US20140175374A1 (en) Hybrid cmos nanowire mesh device and finfet device
TWI505375B (zh) 自我對準沉積之場效電晶體通道之閘極的磊晶源/汲接觸
US8399933B2 (en) Semiconductor device having silicon on stressed liner (SOL)
US11315922B2 (en) Fin cut to prevent replacement gate collapse on STI
KR20150044412A (ko) 매립된 절연체층을 가진 finfet 및 그 형성 방법
JP2005528810A (ja) トリゲート・デバイス及び製造方法
CN105826203A (zh) 形成FinFET晶体管器件的方法和FinFET晶体管器件
EP2696369A1 (en) Methods for manufacturing a field-effect semiconductor device
WO2014056277A1 (zh) 半导体结构及其制造方法
US20150097270A1 (en) Finfet with relaxed silicon-germanium fins
US11810977B2 (en) Semiconductor device with embedded sigma-shaped structure
CN108573850B (zh) 一种半导体器件的制造方法
CN115910928A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20161229

Address after: Cayman Islands Grand Cayman

Patentee after: INTERNATIONAL BUSINESS MACHINES Corp.

Address before: The United States Delaware

Patentee before: Globalfoundries second American LLC

Effective date of registration: 20161229

Address after: The United States Delaware

Patentee after: Globalfoundries second American LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180328

Address after: Ontario, Canada

Patentee after: International Business Machines Corp.

Address before: Cayman Islands Grand Cayman

Patentee before: INTERNATIONAL BUSINESS MACHINES Corp.