CN102623315B - 用于浅沟道隔离(sti)的掺杂氧化物 - Google Patents

用于浅沟道隔离(sti)的掺杂氧化物 Download PDF

Info

Publication number
CN102623315B
CN102623315B CN201210020656.0A CN201210020656A CN102623315B CN 102623315 B CN102623315 B CN 102623315B CN 201210020656 A CN201210020656 A CN 201210020656A CN 102623315 B CN102623315 B CN 102623315B
Authority
CN
China
Prior art keywords
sti structure
sti
doping
silica
scope
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210020656.0A
Other languages
English (en)
Other versions
CN102623315A (zh
Inventor
黄玉莲
蔡俊雄
吴启明
方子韦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/012,948 external-priority patent/US8592915B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102623315A publication Critical patent/CN102623315A/zh
Application granted granted Critical
Publication of CN102623315B publication Critical patent/CN102623315B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

本发明所述的实施例提供了用于以碳对STI中的氧化物进行掺杂以使窄结构和宽结构中的蚀刻率相等并且也使宽STI的角部变牢固的方法和结构。可以通过离子束(离子注入)或等离子体掺杂来进行这种碳掺杂。可以使用硬掩模层以防止下方的硅受到掺杂。通过使用该掺杂机制,硅和STI的平坦的表面形状能够实现先进的工艺技术的栅极结构图案化和ILD0间隙填充。

Description

用于浅沟道隔离(STI)的掺杂氧化物
技术领域
本发明大体上涉及一种半导体衬底的工艺,更具体地涉及浅沟道隔离(STI)中的氧化物的工艺。
背景技术
浅沟道隔离(STI)被用于将半导体晶圆上的有源区域与其他区域分离和隔离。可以通过蚀刻沟道,以诸如氧化物的电介质过量填充沟道,然后以诸如化学机械剖光(CMP)或蚀刻的工艺来去除所有过量的电介质从而将电介质去除到沟道外,来形成STI。该电介质有助于使有源区域彼此电气隔离。由于电路密度持续增长,所以STI结构的平面化会影响到多晶硅结构的图案化。
发明内容
为解决上述问题,本发明提供了一种对衬底上的浅沟道隔离STI结构的表面氧化层进行掺杂的方法,包括:在带有STI结构的衬底上进行化学-机械剖光CMP,其中,用氧化层填充STI结构,以及其中,进行CMP以去除STI结构外部的氧化层,以及其中,将用作蚀刻掩模以形成STI结构的硬掩模层用作CMP停止件,以及其中,CMP在STI结构上形成平坦的表面,在平坦的表面上带有氧化物;以碳原子对STI结构上的平坦的表面上的氧化物进行掺杂;在进行掺杂后对衬底进行退火;并且去除硬掩模层。
其中,在STI结构的平坦表面上的掺杂有碳原子的氧化物的蚀刻率在窄STI结构、宽STI结构上以及在角部STI结构上基本相同。
其中,退火的温度在从大约900℃至大约1350℃的范围内。
其中,退火是通过快速热退火RTA、炉退火、激光退火或闪光退火(快速退火)来进行的。
其中,退火是在从大约50μs至大约10分钟的范围内的持续时间中进行的。
其中,掺杂区域的深度在从大约50nm至大约800nm的范围内。
其中,掺杂是通过能量在从大约2KeV至大约60KeV的范围内的离子束、以掺杂浓度在从大约1E12 1/cm3至大约1E17 1/cm3的范围内的剂量、并且在从大约-150℃至大约25℃的范围内的掺杂温度下进行的。
其中,掺杂是以含碳气体通过等离子体掺杂来进行的。
其中,所掺杂的等离子体是远程产生的。
其中,含碳气体选自由CH4、CxHy、或其组合构成的组中,并且其中,x在从2至12的范围内并且y在从2至26的范围内。
其中,含碳气体与选自由He、Ar、Ne、Kr、Xe和H2构成的组中的惰性气体混合。
其中,偏置电压在从大约0KV至大约10KV的范围内,以及其中,掺杂的碳的剂量在从大约1E12 1/cm3至大约1E17 1/cm3的范围内。
其中,掺杂的等离子体是具有在从大约5%至大约95%的范围内的占空比的脉冲等离子体。
此外,本发明还提供了一种对衬底上的浅沟道隔离STI结构的表面氧化层进行掺杂的方法,包括:在带有STI结构的衬底上进行化学-机械剖光CMP,其中,用氧化层填充STI结构,以及其中,进行CMP以去除STI结构外部的氧化层,以及其中,将用作蚀刻掩模以形成STI结构的硬掩模层用作CMP停止件,以及其中,CMP在STI结构上形成平坦的表面,在平坦的表面上带有氧化物;以碳原子对STI结构上的平坦的表面上的氧化物进行掺杂;并且去除硬掩模层。
该方法进一步包括:在进行掺杂后对衬底进行退火,其中,退火的温度在从大约900℃至大约1350℃的范围内。
其中,掺杂是以含碳气体通过等离子体掺杂来进行的。
此外还提供了一种硅衬底上的浅沟道隔离STI结构,包括:第一STI结构,以及其中,第一STI结构被硅包围,以及其中,第一STI结构填充有氧化硅,以及其中,第一STI结构的第一表面与包围第一STI结构的硅的第二表面基本处在相同的水平面上;以及其中,以碳掺杂第一STI结构的表面上的氧化硅,从而减小和控制第一STI结构的氧化硅的湿蚀刻率。
该结构进一步包括:第二STI结构,以及其中,第二STI结构也被硅包围,以及其中,第二STI结构填充有氧化硅;以及其中,第二STI结构的第三表面与包围第二STI结构的硅的第二表面基本处在相同的水平面上;以及其中,也以碳掺杂第二STI结构的表面上的氧化硅,从而减小和控制第一STI结构的氧化硅湿蚀刻率。
其中,第一STI结构的第一表面与第二STI结构的第三表面基本处在相同的水平面上。
其中,第一STI结构的宽度在从大约30nm至大约2000nm的范围内,以及其中,第二STI结构的宽度基本上大于第一STI结构的宽度。
附图说明
根据下面的结合相应附图的详细说明能够更简单地理解本发明,相似的参考符号表示相似的结构元件。
图1A-1D示出根据一些实施例的工艺流程中的STI结构的横截面图。
图2A和2D-2F示出根据一些实施例的在有碳掺杂的工艺流程中的STI结构的横截面图。
图2B示出根据一些实施例的通过离子束和等离子体进行掺杂的掺杂分布图。
图2C示出根据一些实施例的脉冲等离子体反应器的RF功率与时间的函数。
图3示出根据一些实施例的掺杂STI结构的氧化物表面以改善湿蚀刻率的均匀性的工艺流程。
具体实施方式
应该理解,以下公开提供了多种不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。另外,本发明可以在多个实例中重复参考符号和/或字符。这种重复用于简化和清楚,并且其本身不表示所述多个实施例和/或配置之间的关系。
图1A示出根据一些实施例的两个浅沟道隔离(STI)结构的横截面图。这两个STI结构包括窄结构110和宽结构120。在一些实施例中,窄结构110具有从大约30nm至大约2000nm范围内的宽度。通过在半导体衬底100上使用硬掩模层102来形成STI结构。半导体衬底100包括硅。可选择地,衬底100包括锗或硅锗。在其他实施例中,衬底100可以使用其他的半导体材料,诸如,金刚石、碳化硅、砷化镓,GaAsP、AlInAs、AlGaAs、GaInP或其合适的其他组合。另外,半导体衬底可以是块状半导体,诸如,块硅(bulk silicon)。该块硅可以进一步包括硅外延层。
在一个实施例中,在硅衬底上形成焊盘硅氧化层101。该焊盘硅氧化层101通过热氧化工艺形成。在一些实施例中,焊盘硅氧化层101具有从大约50埃到大约200埃范围内的厚度。在一些实施例中,硬掩模层102由氮化硅构成并且形成在焊盘硅氧化层101上。硬掩模层102可以通过低压化学汽相沉积(LPCVD)工艺形成。例如,该包括二氯甲硅烷(DCS或SiH2Cl2)、二(叔丁基氨基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的前体(precursor)用于CVD工艺以形成在硬掩模层102上。在一些实施例中,硬掩模层102具有从大约400埃到大约1500埃范围内的厚度。然后,对该硬掩模层102进行图案化以形成用于浅沟道蚀刻的膜。在形成硬膜的过程中包括光阻沉积、光刻和光阻显影、蚀刻和蚀刻后光阻清除。可选择地,可以使用其他介电材料作为硬膜。例如,可以将氮氧化硅作为硬膜。
在一些实施例中,出于隔离的目的,浅沟道具有从大约0.20微米到大约1微米范围内的深度。该浅沟道填充有一种或多种介电材料以形成沟道隔离部件110和120,也被称作浅沟道隔离(STI)。在一些实施例中,衬里(liner)层103用作STI的开口的衬里。该衬里层103可以由二氧化硅构成,具有从大约(无衬里)至大约范围内的厚度。衬里氧化物可以通过使用氧气或含混合气体的氧来氧化形成,以氧化STI开口表面上的硅。例如,可以通过在从大约900℃至大约1100℃的温度下的氧气环境中氧化暴露的硅来形成氧化衬里层103。可以在沉积氧化衬里层103之后进行退火工艺(或退火)以防止由于氧化工艺而出现的晶体缺陷。
之后,用介电间隙填充层(dielectric gapfill layer)105填充STI的开口。在一些实施例中,由氧化硅构成的介电间隙填充层105可以通过CVD工艺填充在沟道中。在各种实例中,可以通过高密度等离子体化学汽相沉积(HDPCVD)来形成氧化硅。可选择地,可以通过高纵横比工艺(HARP)来形成氧化硅。在其他实施例中,沟道隔离部件110和120可以包括多层结构。在改进的实施例中,也可以用其他合适的材料填充开口,诸如,氮化硅、氮氧化硅、低k材料、气隙或其组合,从而形成沟道隔离部件。
在沉积介电间隙填充层105之后,衬底可以进行退火以增加间隙填充层105的密度并且降低其湿蚀刻率。该致密化工艺可以在熔炉或快速热处理(RTP)室中进行。在一些实施例中,在从大约900℃至大约1100℃范围内的温度下在RTP室中进行持续时间从大约10秒到大约1分钟的后间隙填充退火(post gapfill anneal)。
在沟道填充完毕后,使衬底进行平坦化工艺以去除STI外过量的电介质。在一些实施例中,向半导体衬底实施化学机械剖光(CMP)工艺以去除沟道填充介电材料的过量部分并且形成整体平坦的表面。例如,CMP工艺可以将硬掩模层102用作剖光停止层,以便CMP工艺可以适当地停止在硬掩模层102上。可以使用其他的工艺以达到相似的剖光效果。例如,可以使用回蚀(etch-back)工艺以去除过量的沟道填充介电材料并且形成整体平坦的表面。图1B示出根据一些实施例的图1A在将硬掩模层102用作CMP停止层的CMP工艺后的结构。
在CMP进行完毕后,为了去除硬掩模层102,可以进行湿氧化蚀刻以调整STI中的介电材料的高度。最后去除硬掩模层102和焊盘硅氧化层101,因为这两个层是牺牲层。为了使衬底的表面变平从而更简单并且更好地光刻形成图案,需要去除沟道中的部分氧化膜。在一些实施例中,通过稀释的HF浸涂进行氧化物去除。在一些实施例中,被去除的氧化物的目标数量在从大约至大约的范围内。图1C示出根据一些实施例的图1B在稀释的HF浸涂之后的结构的示意图。在一些实施例中,通过以一定比例(诸如,50∶1)混合HF和水来配制稀释的HF。稀释的HF浸在窄沟道110中具有比宽沟道120中更高的氧化物的氧化物去除率。图1C示出,D1(在窄的浅沟道隔离110中氧化物蚀刻深度)大于D2(在宽的浅沟道隔离120中的氧化物蚀刻深度)。另外,在宽的STI 120的角部A处存在V形的凹陷(dip),该凹陷也被称作STI缺角,该缺角是由高局部蚀刻率所导致的。
高蚀刻率可能是在上述退火(或致密化工艺)之后由不同程度的氧化物密度所导致的。图1A示出虚线108,该虚线示出在STI的间隙填充之后的致密化(通过退火)的界限。介电间隙填充层105的上部的致密化程度比层105的用虚线108与上部隔开的下部的致密化程度更好。在介电CMP之后,虚线108的一部分如图1B所示地保留下来。图1A和1B示出,在窄STI110内的介电填充层并未很好地致密化。由于未致密化层105的更高的蚀刻率,导致蚀刻深度D1大于蚀刻深度D2。图1B还示出:宽的STI 120的角部A附近的窄的部分并未很好地致密化,这导致产生V形的角部凹陷(或STI缺角)。稀释的HF在宽的和窄的STI之间产生高度差并且产生STI角部凹陷(缺角)。在进行稀释的HF浸涂以降低STI结构的表面之后,通过蚀刻来去除硬掩模层102。衬底100可以进行进一步的工艺过程直至多晶硅形成图案以形成栅极结构。在这些工艺的操作过程中,存在用于去除介电层的湿工艺,诸如,去除焊盘氧化层101,以及去除牺牲的氧化层(未示出),该牺牲的氧化层用于形成图案和注入扩散区域(也未示出)。这种介电去除的湿工艺使窄STI和宽STI之间的阶梯高度差进一步恶化,并且也使角部凹陷(STI缺角)进一步恶化。图1D示出根据一些实施例的在去除硬掩模层102、焊盘氧化层101,还有沉积和去除氧化物层(未示出)之后的窄STI 110和宽STI 120。图1D虽然示出宽STI 120的表面131与硅表面130在同一个水平面上,窄STI 110的中心表面比硅表面130低深度D3,这在多次湿蚀刻操作之后会变得十分明显。另外,角部位置A处的缺角十分严重。浅STI 110中的严重凹陷和角部“A”的缺角会对栅极的图案化光刻和栅极结构之间的可能的层间电介质(ILD)(或ILD0)的间隙填充产生严重地不良影响。因此,改进宽STI结构角部的还有宽STI结构和窄STI结构之间的湿蚀刻的均匀性是很重要的。
一种降低氧化物的湿蚀刻率的方法是通过掺杂含碳(C)的氧化物来进行的。图2A示出,根据一些实施例在CMP之后将掺杂物注入到衬底100的表面中。硬膜102可以被用作掺杂(或注入)膜以保护下面的硅区域(有源区域),B和C。可以通过离子束(离子注入,或光束线)或通过等离子体注入来掺杂(或注入)碳。图2A示出指向衬底100并且被注入到衬底100的表面内的碳离子150,其包括硬掩模层102,衬里氧化层103和介电间隙填充层105。如果硬掩模层102由氮化硅构成,则氮化硅比氧化硅膜更难掺杂(或注入)。只有氧化膜的表面层需要被掺杂。图2A示出根据一些实施例的掺杂物在介电填充物层105和衬里层103中具有厚度T。该厚度T应该大于在CMP之后的硬掩模层102的厚度T1和焊盘氧化层101的厚度T2。因为在硬掩模层102和焊盘氧化层101被去除之后,需要碳掺杂物处在STI 110和120上,以防止STI 110和120受到湿蚀刻损失的损害。在一些实施例中,厚度T在从至大约的范围内。
如果通过离子束进行掺杂,作为离子的掺杂物可以直接垂直地(实线箭头)或以角“α”倾斜地朝向衬底100。该角度可以大约为零或大于零。在一些实施例中,该角度在从大约0°至大约60°的范围中。在其他实施例中,该角度在从大约0°至大约30°的范围内。由于掺杂层160的相对浅度,掺杂能量相对较低。在一些实施例中,掺杂能量在从大约2KeV至大约60KeV的范围内。掺杂浓度在从大约1E12 1/cm3至大约1E17 1/cm3的范围内。在一些实施例中,注入工艺的温度在从大约-150℃至大约室温(大约25℃)的范围内。
如上所述,也可以通过等离子体掺杂(或PLAD)实现掺杂。因为等离子壳层中的等离子体离子可以不同的方向运动,所以离子束中的掺杂物不仅指向衬底,该等离子体离子150由虚线箭头示出。等离子体掺杂(或PLAD)对浅掺杂是理想的。掺杂物等离子体离子105在角度范围内到达衬底表面,代替了通过离子束注入离子时的确定角度。等离子体离子所具有的能量经常低于离子束的离子。因此,等离子体离子对于浅掺杂来讲是理想的。图2B示出根据一些是实施例的掺杂分布图,该掺杂分布图被作为关于以离子束和PLAD进行掺杂的深度的函数。曲线210示出了离子束的掺杂分布图,曲线220示出了PLAD的掺杂分布图。由于掺杂物浓度随着深度而更迅速地减小,PLAD能够具有更高的表面浓度,该深度指的是用于浅掺杂的更好的深度控制。相比之下,离子束掺杂适合用于更深的注入。根据一些实施例,如果掺杂的深度T小于大约则使用PLAD。对于更大的掺杂深度来讲,任何一种掺杂方法(离子束或PLAD)都可以使用。在一些实施例中,掺杂区域的深度在从大约50nm到大约800nm的范围内。
在离子束掺杂系统中进行离子束掺杂。离子束掺杂系统的实例是PLAD系统,该系统由马萨诸塞州格洛斯特Varian半导体仪器合资公司制造。掺杂气体由一种或多种含碳的气体,诸如,CH4、CxHy,(其中x和y是整数)或其组合构成。在一些实施例中,x在从2至12的范围内并且y在从2至26的范围内。该掺杂气体也可以包括运载气体,诸如,H2,He,Ar,Ne,Kr,Xe。含碳气体的百分比可以在从大约1%到大约100%的范围内。在一些实施例中,工艺气流在从大约50sccm(标况毫升每分)至大约500sccm的范围内。在一些实施例中,等离子体工艺的压力在从大约5m托(Torr)到大约50m托的范围内。根据一些实施例,RF(射频)功率在从大约100瓦特(W)到大约1000W的范围内,并且处在从大约2千赫兹(KHz)到大约13.6兆赫兹(MHz)的范围内的射频频率下。衬底可以是偏置的或不偏置的。如果衬底是偏置的,可以增加掺杂深度。在一些实施例中,偏置电压在从大约0KV至大约10KV的范围内。在一些实施例中,RF电源可以具有双频率。可以在工艺室中或远程地(远程等离子体)产生掺杂的等离子体。在一些实施例中,掺杂物(碳)的剂量在从1E12 1/cm3至1E17 1/cm3的范围内。
用于产生等离子体的射频(RF)电源可以是脉冲的。图2C示出根据一些实施例的脉冲等离子体的功率周期图。图2C示出了RF电源周期性地打开和关闭。根据一些实施例,脉冲的占空比(通电时间/整体时间)可以在从大约5%至大约95%的范围内。在一些实施例中,在从大约10秒钟至大约5分钟的持续时间内进行等离子体掺杂。
在进行掺杂之后,衬底被退火以使碳原子设置在氧化层中。在一些其他的实施例中,可以跳过退火操作。退火温度可以在从大约900℃至大约1350℃的范围内。可以通过快速热退火或通过炉退火来进行所使用的退火。可选择地,退火工艺可以是激光退火或闪光退火。在一些实施例中,退火时间可以在从大约50μs(微秒)至大约10分钟的范围内。图2D示出根据一些实施例的对图2A的衬底进行退火的操作。可以向衬底的正面、衬底的背面或衬底的正面和背面提供热量。在一些实施例中,可以跳过该退火操作。
在衬底退火之后,可以进行稀释的HF浸涂以降低STI中的氧化物高度。STI中的氧化物被去除,从而确保在硬掩模层102和焊盘氧化层101被去除后衬底表面基本上是平的。图2E示出了根据一些实施例的在稀释的HF浸涂之后的衬底100的横截面图。由于碳的沉积,氧化膜的表面层在窄STI和宽STI中都具有相同的湿蚀刻率。因此,解决了在窄STI中和宽STI角部上的蚀刻率较高的问题。硬掩模层102保护下方的硅不受到沉积工艺的损害。图2E示出了根据一些实施例的图2D的衬底在稀释的HF浸涂之后的横截面图。窄STI 110中的凹陷D11和宽STI 120中的凹陷D12几乎相同。掺杂有碳的氧化膜的蚀刻率的降低使在窄STI 110和宽STI 120中的凹陷深度的控制变得更简单和更自如。另外,在宽STI 120的角部不存在STI缺角。
在稀释的HF浸涂之后,硬掩模层102和焊盘氧化层101被去除。这两个层可以通过干蚀刻和/或湿蚀刻来去除。图2F示出了根据一些实施例的在硬掩模层102和焊盘氧化层101被去除后图2E的衬底的横截面图。图2F示出了STI 110和120的表面231、232与硅表面233大约在相同的水平面上。通过碳来掺杂STI 110和120的表面层,这可以保护STI 110、120中的氧化物不受到随后的湿蚀刻工艺的过量蚀刻的损害。
图3示出了根据一些实施例的以碳对STI中的氧化物表面进行掺杂以使在窄STI和宽STI中的氧化物表面的蚀刻率相同并且不出现角部问题的工艺流程300。在操作301中,利用CMP平坦化带有STI结构的衬底,以去除STI结构外的过量的氧化物。在操作302中,以碳对衬底进行掺杂。可以通过离子束或通过等离子沉积(PLAD)来进行碳沉积。在操作303中,在碳掺杂之后衬底被退火以使碳原子设置在STI中的氧化膜(或沉积的氧化层)中。在一些实施例中,操作303被跳过并且在碳掺杂之后不对衬底进行退火。然后,在操作304中执行稀释的HF浸涂以减小STI中的氧化物的厚度(以在STI中形成凹陷)。因为被去除的氧化物是碳掺杂的,所以在窄STI和宽STI中的去除率几乎相同。另外,碳掺杂解决了宽STI中的问题或薄弱的角部。在HF浸涂之后,在操作305中去除硬掩模层。可以在去除硬掩模层后也去除焊盘氧化膜。
上述实施例提供了一些方法和结构用于在STI中利用碳来掺杂氧化物以使在窄结构和宽结构中的蚀刻率相等并且也使宽STI的角部与宽STI的其他表面部分同样坚固。这种碳掺杂可以通过离子束(离子注入)或通过等离子体掺杂来进行。硬掩模层可以用于防止下方的硅受到掺杂。通过使用掺杂机制,硅和STI的平坦的表面形状能够实现用于先进的工艺技术的栅极结构图案化和ILD0间隙填充。
在一个实施例中,提供了一种对衬底上的浅沟道隔离(STI)结构的表面氧化层进行掺杂的方法。该方法包括,在带有STI结构的衬底上进行化学-机械剖光(CMP),并且用氧化层填充该STI结构。进行CMP以将氧化层去除到STI结构外,并且将用作蚀刻掩模以形成STI结构的硬掩模层用作CMP停止件。CMP在STI结构上形成在其上带有氧化物的平坦的表面。该方法还包括:以碳原子对STI结构上的平坦的表面上的氧化物进行掺杂,并且在掺杂后进行退火。该方法进一步包括去除硬掩模层。
在另一个实施例中,提供一种对衬底上的浅沟道隔离(STI)结构的表面氧化层进行掺杂的方法。该方法包括,在带有STI结构的衬底上进行化学-机械剖光(CMP),并且用氧化层填充该STI结构。进行CMP以将氧化层去除到STI结构外,并且将用作蚀刻掩模以形成STI结构的硬掩模层用作CMP停止件。CMP在STI结构上形成在其上带有氧化物的平坦的表面。该方法还包括:以碳原子对STI结构上的平坦的表面上的氧化物进行掺杂,并且去除硬掩模层。
在又一个实施例中,提供了一种硅衬底上的浅沟道隔离(STI)结构。该STI结构包括第一STI结构,并且该第一STI被硅包围。第一STI结构填充有氧化硅;并且第一STI结构的第一表面与包围第一STI结构的硅的第二表面基本处在相同的水平面上。以碳掺杂第一STI的表面上的氧化硅,从而减小和控制第一STI结构的氧化硅的湿蚀刻率。STI结构还包括第二STI结构,并且该第二STI也被硅包围。用氧化硅填充该第二STI结构;并且第二STI结构的第三表面与包围第二STI的硅的第二表面基本处在相同的水平面上。也以碳掺杂第二STI结构的表面上的氧化硅,从而减小和控制第一STI结构的氧化硅的湿蚀刻率。
可以从公开的布置、操作以及方法和系统的细节中得到对本领域的技术人员来讲是显而易见的各种更改、改变和变化。虽然为了清楚地理解,本文已经详细地对上述发明做出了描述,但是显而易见的是,特定的改变和更改都可以在附加的权利要求的范围内进行实施。因此,这些实施例都是示意性的而并不旨在限制本发明,并且本发明限于本发明给出的细节,而可以在所附权利要求的范围和等效替换中进行更改。

Claims (20)

1.一种对衬底上的浅沟道隔离STI结构的表面氧化层进行掺杂的方法,包括:
在带有STI结构的衬底上进行化学-机械抛光CMP,其中,用氧化硅填充所述STI结构,以及其中,进行所述CMP以去除所述STI结构外部的氧化硅,以及其中,将用作蚀刻掩模以形成所述STI结构的硬掩模层用作CMP停止件,以及其中,所述CMP在所述STI结构上形成平坦的表面,在所述平坦的表面上带有氧化硅;
以碳原子对所述STI结构上的平坦的表面上的氧化硅以及所述硬掩模层进行掺杂;
在进行所述掺杂后对所述衬底进行退火;
降低所述STI结构中被掺杂的氧化硅的高度;并且
去除所述硬掩模层以使带有被掺杂的氧化硅的所述STI结构的顶面与所述衬底的顶面平齐。
2.根据权利要求1所述的方法,其中,在STI结构的平坦表面上的掺杂有碳原子的氧化硅的蚀刻率在窄STI结构、宽STI结构上以及在角部STI结构上基本相同。
3.根据权利要求1所述的方法,其中,所述退火的温度在从大约900℃至大约1350℃的范围内。
4.根据权利要求1所述的方法,其中,所述退火是通过快速热退火RTA、炉退火、激光退火或闪光退火来进行的。
5.根据权利要求1所述的方法,其中,所述退火是在从大约50μs至大约10分钟的范围内的持续时间中进行的。
6.根据权利要求1,其中,所述掺杂区域的深度在从大约50nm至大约800nm的范围内。
7.根据权利要求1,其中,所述掺杂是通过能量在从大约2KeV至大约60KeV的范围内的离子束、以掺杂浓度在从大约1E12 1/cm3至大约1E17 1/cm3的范围内的剂量、并且在从大约-150℃至大约25℃的范围内的掺杂 温度下进行的。
8.根据权利要求1所述的方法,其中,所述掺杂是以含碳气体通过等离子体掺杂来进行的。
9.根据权利要求8所述的方法,其中,所掺杂的等离子体是远程产生的。
10.根据权利要求8所述的方法,其中,所述含碳气体选自由CH4、CxHy、或其组合构成的组中,并且其中,x在从2至12的范围内并且y在从2至26的范围内。
11.根据权利要求8所述的方法,其中,所述含碳气体与选自由He、Ar、Ne、Kr、Xe和H2构成的组中的惰性气体混合。
12.根据权利要求8所述的方法,其中,偏置电压在从大约0KV至大约10KV的范围内,以及其中,掺杂的碳的剂量在从大约1E12 1/cm3至大约1E17 1/cm3的范围内。
13.根据权利要求8所述的方法,其中,掺杂的等离子体是具有在从大约5%至大约95%的范围内的占空比的脉冲等离子体。
14.一种对衬底上的浅沟道隔离STI结构的表面氧化层进行掺杂的方法,包括:
在带有STI结构的衬底上进行化学-机械抛光CMP,其中,用氧化硅填充所述STI结构,以及其中,进行所述CMP以去除所述STI结构外部的氧化硅,以及其中,将用作蚀刻掩模以形成所述STI结构的硬掩模层用作CMP停止件,以及其中,所述CMP在所述STI结构上形成平坦的表面,在所述平坦的表面上带有氧化硅;
以碳原子对所述STI结构上的平坦的表面上的氧化硅以及所述硬掩模层进行掺杂;
降低所述STI结构中被掺杂的氧化硅的高度;并且
去除所述硬掩模层以使带有被掺杂的氧化硅的所述STI结构的顶面与所述衬底的顶面平齐。
15.根据权利要求14所述的方法,进一步包括:
在进行所述掺杂后对所述衬底进行退火,其中,所述退火的温度在从 大约900℃至大约1350℃的范围内。
16.根据权利要求14所述的方法,其中,所述掺杂是以含碳气体通过等离子体掺杂来进行的。
17.一种根据权利要求1至16中任一项所述的方法制造的硅衬底上的浅沟道隔离STI结构,包括:
第一STI结构,以及其中,所述第一STI结构被硅包围,以及其中,所述第一STI结构填充有氧化硅,以及其中,所述第一STI结构的第一表面与包围所述第一STI结构的硅的第二表面基本处在相同的水平面上;以及其中,以碳掺杂所述第一STI结构的表面上的氧化硅,从而减小和控制所述第一STI结构的氧化硅的湿蚀刻率。
18.根据权利要求17的STI结构,进一步包括:
第二STI结构,以及其中,所述第二STI结构也被硅包围,以及其中,所述第二STI结构填充有氧化硅;以及其中,所述第二STI结构的第三表面与包围所述第二STI结构的硅的第二表面基本处在相同的水平面上;以及其中,也以碳掺杂所述第二STI结构的表面上的氧化硅,从而减小和控制所述第一STI结构的氧化硅湿蚀刻率。
19.根据权利要求18所述的STI结构,其中,所述第一STI结构的第一表面与所述第二STI结构的第三表面基本处在相同的水平面上。
20.根据权利要求18所述的STI结构,其中,所述第一STI结构的宽度在从大约30nm至大约2000nm的范围内,以及其中,所述第二STI结构的宽度基本上大于所述第一STI结构的宽度。
CN201210020656.0A 2011-01-25 2012-01-20 用于浅沟道隔离(sti)的掺杂氧化物 Active CN102623315B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13/012,948 2011-01-25
US13/012,948 US8592915B2 (en) 2011-01-25 2011-01-25 Doped oxide for shallow trench isolation (STI)
US13/156,939 US8877602B2 (en) 2011-01-25 2011-06-09 Mechanisms of doping oxide for forming shallow trench isolation
US13/156,939 2011-06-09

Publications (2)

Publication Number Publication Date
CN102623315A CN102623315A (zh) 2012-08-01
CN102623315B true CN102623315B (zh) 2015-05-13

Family

ID=46544469

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210020656.0A Active CN102623315B (zh) 2011-01-25 2012-01-20 用于浅沟道隔离(sti)的掺杂氧化物

Country Status (2)

Country Link
US (1) US8877602B2 (zh)
CN (1) CN102623315B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8592915B2 (en) * 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法
CN103839867A (zh) * 2012-11-21 2014-06-04 上海华虹宏力半导体制造有限公司 改善浅沟槽隔离介电材料刻蚀形貌的方法
CN105590834A (zh) * 2014-11-11 2016-05-18 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105870019A (zh) * 2015-01-22 2016-08-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US20180145131A1 (en) * 2016-11-18 2018-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
JP2019169581A (ja) * 2018-03-23 2019-10-03 株式会社東芝 半導体装置の製造方法

Family Cites Families (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US20030170964A1 (en) * 1996-10-02 2003-09-11 Micron Technology, Inc. Oxidation of ion implanted semiconductors
TW468273B (en) 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
US6258695B1 (en) * 1999-02-04 2001-07-10 International Business Machines Corporation Dislocation suppression by carbon incorporation
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7041581B2 (en) * 2001-11-16 2006-05-09 International Business Machines Corporation Method and structure for improving latch-up immunity using non-dopant implants
JP4118045B2 (ja) 2001-12-07 2008-07-16 富士通株式会社 半導体装置
CN1449012A (zh) 2002-03-29 2003-10-15 旺宏电子股份有限公司 一种改善浅槽隔离可靠度的方法
JP2004014737A (ja) 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6713365B2 (en) 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US6689665B1 (en) * 2002-10-11 2004-02-10 Taiwan Semiconductor Manufacturing, Co., Ltd Method of forming an STI feature while avoiding or reducing divot formation
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6713385B1 (en) * 2002-10-31 2004-03-30 Intel Corporation Implanting ions in shallow trench isolation structures
US6946373B2 (en) 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
TW200423185A (en) * 2003-02-19 2004-11-01 Matsushita Electric Ind Co Ltd Method of introducing impurity
US20040192067A1 (en) 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6830980B2 (en) * 2003-03-20 2004-12-14 Texas Instruments Incorporated Semiconductor device fabrication methods for inhibiting carbon out-diffusion in wafers having carbon-containing regions
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6881668B2 (en) 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6989318B2 (en) * 2003-10-22 2006-01-24 International Business Machines Corporation Method for reducing shallow trench isolation consumption in semiconductor devices
US7153744B2 (en) 2003-12-03 2006-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-aligned poly for embedded flash
US20080040696A1 (en) * 2003-12-11 2008-02-14 International Business Machines Corporation Design Structures Incorporating Shallow Trench Isolation Filled by Liquid Phase Deposition of SiO2
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100552058B1 (ko) 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US6897118B1 (en) * 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US6956277B1 (en) 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
JP4493398B2 (ja) 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US6940747B1 (en) 2004-05-26 2005-09-06 Hewlett-Packard Development Company, L.P. Magnetic memory device
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7989855B2 (en) 2004-06-10 2011-08-02 Nec Corporation Semiconductor device including a deflected part
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
JP5203558B2 (ja) 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
US7067400B2 (en) 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
JP2008514813A (ja) 2004-09-27 2008-05-08 ダウ グローバル テクノロジーズ インコーポレーテッド プラズマ強化化学蒸着による多層被膜
US7018901B1 (en) 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100605499B1 (ko) 2004-11-02 2006-07-28 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
KR100693783B1 (ko) 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
JP4696127B2 (ja) 2004-12-06 2011-06-08 エヌエックスピー ビー ヴィ 半導体基板上にエピタキシャル層を形成する方法およびこの方法によって形成するデバイス
US7026232B1 (en) 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US20060151808A1 (en) 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
CN100481345C (zh) 2005-02-24 2009-04-22 硅绝缘体技术有限公司 SiGe层的热氧化及其应用
JP2006303451A (ja) 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
JP2006278754A (ja) * 2005-03-29 2006-10-12 Fujitsu Ltd 半導体装置及びその製造方法
JP2006324628A (ja) 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP4427489B2 (ja) 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
KR100655788B1 (ko) 2005-06-30 2006-12-08 삼성전자주식회사 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
EP1744351A3 (en) 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process
EP2469584A1 (en) * 2005-12-09 2012-06-27 Semequip, Inc. Method of implanting ions
US7718500B2 (en) 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007258485A (ja) 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
JP4791868B2 (ja) 2006-03-28 2011-10-12 株式会社東芝 Fin−NAND型フラッシュメモリ
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
WO2007115585A1 (en) 2006-04-11 2007-10-18 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
EP1868233B1 (fr) 2006-06-12 2009-03-11 Commissariat A L'energie Atomique Procédé de réalisation de zones à base de Si1-yGey de différentes teneurs en Ge sur un même substrat par condensation de germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7432559B2 (en) * 2006-09-19 2008-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation on SiGe
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7892935B2 (en) * 2006-11-30 2011-02-22 United Microelectronics Corp. Semiconductor process
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US8053327B2 (en) * 2006-12-21 2011-11-08 Globalfoundries Singapore Pte. Ltd. Method of manufacture of an integrated circuit system with self-aligned isolation structures
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
CN100550343C (zh) * 2007-01-26 2009-10-14 联华电子股份有限公司 半导体元件的浅沟槽隔离层及其制作方法
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
JP2008227026A (ja) 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
TW200901368A (en) 2007-06-23 2009-01-01 Promos Technologies Inc Shallow trench isolation structure and method for forming thereof
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US20090194810A1 (en) * 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
EP2257974A1 (en) 2008-02-26 2010-12-08 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US7977202B2 (en) * 2008-05-02 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing device performance drift caused by large spacings between active regions
US8258585B2 (en) 2008-05-29 2012-09-04 Panasonic Corporation Semiconductor device
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
CN101621029B (zh) * 2008-07-03 2011-01-12 中芯国际集成电路制造(上海)有限公司 有选择的反窄宽度效应的dram单元结构及其生成方法
KR20100013898A (ko) * 2008-08-01 2010-02-10 삼성전자주식회사 불순물 손실 방지층을 갖는 플라즈마 불순물 도핑 반도체구조 및 그 제조 방법
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
US8034670B2 (en) * 2009-03-11 2011-10-11 Globalfoundries Singapore Pte. Ltd. Reliable memory cell
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8298925B2 (en) * 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8299508B2 (en) * 2009-08-07 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS structure with multiple spacers
JP5423269B2 (ja) * 2009-09-15 2014-02-19 富士通セミコンダクター株式会社 半導体装置とその製造方法
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8304319B2 (en) * 2010-07-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a disilicide
US8790991B2 (en) * 2011-01-21 2014-07-29 International Business Machines Corporation Method and structure for shallow trench isolation to mitigate active shorts

Also Published As

Publication number Publication date
US20120190167A1 (en) 2012-07-26
CN102623315A (zh) 2012-08-01
US8877602B2 (en) 2014-11-04

Similar Documents

Publication Publication Date Title
CN102623315B (zh) 用于浅沟道隔离(sti)的掺杂氧化物
US9184088B2 (en) Method of making a shallow trench isolation (STI) structures
US9209243B2 (en) Method of forming a shallow trench isolation structure
US20070181966A1 (en) Fabrication process of semiconductor device and semiconductor device
US9129823B2 (en) Silicon recess ETCH and epitaxial deposit for shallow trench isolation (STI)
US9786542B2 (en) Mechanisms for forming semiconductor device having isolation structure
US10586700B2 (en) Protection of low temperature isolation fill
CN103456673A (zh) 浅沟槽隔离的制造方法和cmos的制造方法
US10872762B2 (en) Methods of forming silicon oxide layer and semiconductor structure
KR100870297B1 (ko) 반도체 소자의 제조 방법
KR101077014B1 (ko) 반도체 소자의 소자분리막 제조방법
US20090181516A1 (en) Method of Forming Isolation Layer of Semiconductor Device
KR100545137B1 (ko) 반도체 소자의 소자 분리막 형성 방법
US7186627B2 (en) Method for forming device isolation film of semiconductor device
US20080160715A1 (en) Method of forming a device isolation film of a semiconductor device
KR20110024513A (ko) 반도체 소자 제조 방법
KR101081854B1 (ko) 반도체 소자의 소자분리막 제조방법
KR100517351B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR20100093156A (ko) 반도체 메모리 소자 및 이의 제조 방법
KR100575886B1 (ko) 반도체 소자의 제조방법
KR100619395B1 (ko) 반도체 소자 제조 방법
CN112349586A (zh) 半导体结构的形成方法
KR20060073118A (ko) 반도체 메모리 소자의 층간절연막 형성 방법
KR20080060571A (ko) 반도체 소자의 소자 분리막 형성방법
KR20070069953A (ko) 반도체 소자의 소자 분리막 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant