CN102299143B - 半导体元件 - Google Patents

半导体元件 Download PDF

Info

Publication number
CN102299143B
CN102299143B CN201010583364.9A CN201010583364A CN102299143B CN 102299143 B CN102299143 B CN 102299143B CN 201010583364 A CN201010583364 A CN 201010583364A CN 102299143 B CN102299143 B CN 102299143B
Authority
CN
China
Prior art keywords
nude film
substrate
dielectric layer
layer
electrical couplings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010583364.9A
Other languages
English (en)
Other versions
CN102299143A (zh
Inventor
施应庆
林俊成
邱文智
郑心圃
余振华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102299143A publication Critical patent/CN102299143A/zh
Application granted granted Critical
Publication of CN102299143B publication Critical patent/CN102299143B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/4007Surface contacts, e.g. bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2518Disposition being disposed on at least two different sides of the body, e.g. dual array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0306Inorganic insulating substrates, e.g. ceramic, glass
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/01Tools for processing; Objects used during processing
    • H05K2203/0147Carriers and holders
    • H05K2203/016Temporary inorganic, non-metallic carrier, e.g. for processing or transferring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/02Details related to mechanical or acoustic processing, e.g. drilling, punching, cutting, using ultrasound
    • H05K2203/025Abrading, e.g. grinding or sand blasting

Abstract

本发明提供一种包含中介层的半导体元件,其中中介层包括:一基板;以及至少一介电层形成于基板上。多个基板穿孔(TSVs)穿过基板。第一金属凸块形成于介电层中且与多个基板穿孔电性耦合。第二金属凸块位于介电层上。裸片埋设于介电层中且接合到第一金属凸块。本发明实施例的基板上允许存在的金属凸块的数目可达到最大化。此外,也可改善尺寸因子。

Description

半导体元件
技术领域
本发明涉及一种集成电路,且特别是涉及一种包括中介层(interposers)的三维集成电路(3DIC)与其制法。
背景技术
各种电子元件(例如晶体管(transistors)、二极管(diodes)、电阻器(resistors)、电容(capacitors)等等)的集积密度(integration density)已经持续快速的提升。对大多数元件而言,集积密度的提升来自于不断地降低特征结构的尺寸(feature size),以允许更多的元件整合于既定面积之中。
这些整合在本质上属于二维(2D)的提升,其中集成元件所占据的体积实质上位于半导体晶片的表面上。虽然光刻技术的显著提升使2D集成电路的形成得到相当大的改进,然而对于2D空间可达到的密度仍有物理上的限制。其中之一的限制在于需要微小尺寸以构成这些元件。此外,当越多元件置于一芯片时,需要越复杂的设计。另外一项额外的限制在于,当元件数目增加时,元件间的内连线结构(interconnections)的数目与长度会显著的增加。当内连线结构数目与长度增加时,电路RC延迟(circuit RC delay)与功率消耗(power consumption)两者皆会增加。
为了解决上述的限制,因此衍生出三维(3D)集成电路(ICs),其中裸片被堆叠,且通过使用导线接合(wire-bonding)、倒装芯片接合(flip-chip bonding)及/或硅穿孔(through-silicon vias,TSV)等技术将裸片接合在一起,用以将裸片连接到封装基板上。然而,现有的3D ICs具有高尺寸因子(high form factor)。
发明内容
为克服现有技术的缺陷,本发明提供一种半导体元件,包括:一中介层(interposer),其中该中介层包括:一基板;至少一介电层,位于该基板之上;多个基板穿孔(through-substrate vias,TSVs)穿过该基板;一第一金属凸块,位于该介电层中且与所述多个基板穿孔电性耦合;以及一第二金属凸块,位于该介电层之上;以及一第一裸片,埋设于该介电层之中且接合到该第一金属凸块。
本发明也提供一种半导体元件,包括:一第一裸片;一中介层,其中该中介层包括:一基板;多个第一基板穿孔(through-substrate vias,TSVs)穿过该基板;多个第一重新布线层(redistribution lines,RDLs),位于该基板之上且与所述多个基板穿孔电性耦合;一介电层,位于该基板的顶表面上,该第一裸片位于该介电层中,其中该介电层包括一部分直接位于该第一裸片之上,且该介电层包括一第二部分包围该第一裸片;以及多个导通孔(vias)延伸到该介电层中,其中所述多个导通孔包括一第一部分直接位于该第一裸片之上且与该第一裸片电性耦合,且所述多个导通孔包括不与该第一裸片对准的一第二部分,且第二部分与所述多个第一基板穿孔电性耦合,且其中所述多个导通孔的末端彼此等高;多个第一金属凸块位于该介电层之上且与所述多个导通孔电性耦合,其中所述多个第一金属凸块包括一部分与该第一裸片电性耦合;以及一第二裸片,位于所述多个第一金属凸块之上且与所述多个第一金属凸块电性耦合。
本发明另提供一种半导体元件,包括:一中介层,其中该中介层大体上不包括集成电路元件,且该中介层包括:一硅基板;多个第一基板穿孔(through-substrate vias,TSVs),位于该基板中;多个第一金属凸块,位于该中介层的第一侧上,该第一金属凸块的一部分与所述多个第一基板穿孔电性耦合;多个第二金属凸块,位于相对于该第一侧的一第二侧上,该第二金属凸块的一部分与所述多个第一基板穿孔电性耦合;一第一内连线结构(interconnect structure),位于该中介层第一侧上且包括:至少一介电层,位于该硅基板之上;以及重新布线层(redistribution lines),位于该介电层中且使所述多个第一金属凸块与所述多个第一基板穿孔电性耦合;一第一裸片,埋设于所述多个介电层中且位于所述多个第一金属凸块底下,其中该第一裸片与所述多个第一金属凸块电性耦合;以及一第二裸片,位于所述多个第一金属凸块之上且与所述多个第一金属凸块电性耦合。
本发明实施例的基板上允许存在的金属凸块的数目可达到最大化。此外,也可改善尺寸因子。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合附图,作详细说明如下。
附图说明
图1A~图1G为一系列剖面图,用以说明本发明一实施例制作三维集成电路(3DIC)的各个工艺阶段,其中裸片埋设于中介层一侧的介电层中。
图2A~图2C为一系列剖面图,用以说明本发明一较佳实施例制作三维集成电路(3DIC)的各个工艺阶段,其中形成金属凸块于中介层的相对侧之前,第一层裸片与对各自的模封化合物接合/涂布于中介层上。
图3A~图3C为一系列剖面图,用以说明本发明一较佳实施例制作三维集成电路(3DIC)的各个工艺阶段,其中形成焊料凸块于中介层相对侧上之后,第一层裸片(不具有模封化合物)接合至中介层。
图4~图6为一系列剖面图,用以说明本发明各种三维集成电路(3DIC)的实施例。
【主要附图标记说明】
10~基板
12、12A~重新布线层(RDLs)
14~有源元件
18~介电层
20、20A~基板穿孔(TSVs)
21~绝缘层
22~第二层裸片(tier-2die)
24~黏着层
26~接合焊盘(bonding pads)
28~介电层
30~导通孔(vias)
32~重新布线层(RDLs)
34~介电层
35~金属凸块
36~载板(carrier)
37~凸块底层金属(UBMs)
38~金属凸块
39~黏着剂
44~第一层裸片(dier-1die)
45~底部填充物(underfill)
46A、46B~凸块
50~电子元件
54~模封化合物
60~基板穿孔(TSVs)
100~中介层晶片
100’~中介层
具体实施方式
以下特举出本发明的实施例,并配合附图作详细说明。以下实施例的元件和设计是为了简化所公开的发明,并非用以限定本发明。
本发明提供一种新颖的三维集成电路(3DIC)与其制法。实施例中叙述各个工艺阶段。也讨论各种实施例的变化。在各种图示与示范实施例中,类似的元件用类似的附图标记表示。
请参见图1A,提供一基板10。在说明书中,基板10与形成于基板10的相对两侧的介电层与金属结构特征合称为中介层晶片100。基板10由一半导体材料所组成,例如硅、硅化锗(silicon germanium)、碳化硅(silicon carbide)、砷化镓(gallium arsenide)或其他常用的半导体材料。另外,基板10也可由介电材料所组成,例如氧化硅(silicon oxide)。中介层晶片100可包括,或可大体上不包括有源元件,例如晶体管。图1A显示有源元件14形成于基板10的表面上。另外,中介层晶片100可包括,或不包括无源元件,例如电容(capacitors)、电阻(resistors)、电感(inductors)及/或类似的元件。基板穿孔(through-substrate vias,TSVs)20形成于基板10中,并且形成绝缘层21以电性隔离基板穿孔(TSVs)20与基板10。
重新布线层(redistribution lines,RDLs)12形成于基板10之上,且与该基板穿孔(TSVs)20电性耦合。重新布线层(RDLs)12可包括用于传递(routing)电子信号(electrical signal)的金属线(metal lines),与用于连接后续形成的导通孔(vias)所需的金属焊盘(metal pads)。在一实施例中,重新布线层(RDLs)12由铜所组成,话虽如此,也可由其他材料所组成,例如铝(aluminum)、银(silver)、钛(titanium)、钽(tantalum)、钨(tungsten)、镍(nickel)及/或上述的合金。在说明书中,请参见图1A,中介层晶片100面向上的一侧称为前侧(frontside),面向下的一侧称为背侧(back side)。介电层18形成于重新布线层(RDLs)12之上,并且形成一平坦表面。形成介电层18的材料可包括氮化物、聚酰亚胺(polyimide)、有机材料、无机材料以及类似的材料。在形成介电层18之后,重新布线层(RDLs)12被覆盖。
接着,请参见图1B,裸片22通过,例如黏着层24,附着于介电层18之上,其中裸片22具有接合焊盘(或金属凸块)26的一侧背对于介电层18。虽然仅显示一个裸片,但是也可接合多个相同的裸片22到中介层晶片100上。裸片22可以是包含集成电路元件形成于其中的元件裸片,其中集成电路元件包括例如晶体管(transistors)、电容(capacitors)、电感(inductors)、电阻(resistors)(图中未显示),或类似的元件。此外,裸片22可以是包含核心电路(core circuits)的一逻辑裸片(logic die),或是一存储器裸片(memory die)。裸片22之后也可称为第二层裸片(tier-2die)。
请参见图1C,介电层28形成于介电层18与裸片22之上。基本上(essentially),形成介电层28的材料可等于形成介电层18的材料或与介电层18的材料属于同一类型。介电层28之后将包括两部分,第一部分覆盖裸片22,而第二部分围绕裸片22。接着,请参见图1D,形成导通孔(vias)30、重新布线层(RDLs)32与介电层34。在形成上述结构的工艺的实施例中,首先(例如通过蚀刻)在介电层18与28中形成导通孔开口(vias opening),其中利用位于重新布线层(RDLs)12中的金属焊盘与裸片22的接合焊盘26作为蚀刻停止层。接着将金属材料填充于导通孔开口中以形成导通孔30。接着,形成重新布线层(RDLs)32。在另一示范实施例中,导通孔30与重新布线层(RDLs)32可通过同一金属填充工艺而形成。介电层34形成于重新布线层(RDLs)32之上。接着在介电层34之中形成开口,其中重新布线层(RDLs)32暴露的部分作为接合焊盘(bond pads)。重新布线层(RDLs)32由铜所组成,话虽如此,也可由其他材料所组成,例如铝(aluminum)、银(silver)、钨(tungsten)、钛(titanium)、钽(tantalum)及/或上述的合金。此外,重新布线层(RDLs)32可具有复合结构,复合结构包括一铜层与形成于铜层之上的金属抛光层(metalfinish),其中金属抛光层可包括镍层(nickel layer)、钯层(palladium layer)、金层(gold layer)或上述的组合。在本文之后的叙述中,将介电层18与28、重新布线层(RDLs)12与32合称为内连线结构(interconnect structure)。
请参见图1E,一载板(carrier)36,其可以是玻璃晶片,通过金属凸块35与黏着层39(其可以是紫外光胶,或由其他已知的黏着材料所组成)接合到中介层晶片100的前侧。接着,请参见图1F,从中介层晶片100的背侧进行晶片背侧研磨,借以薄化基板10,直到基板穿孔(TSVs)20暴露在外为止。为了降低基板10的背表面的高度,还可进行一蚀刻工艺,使得基板穿孔(TSVs)20延伸突出于基板10的剩余部分。
请再次参见图1F,凸块底层金属(under-metal-metallurgies,UBMs)37与背侧金属凸块38形成于中介层晶片100的背侧,且上述两者与基板穿孔20电性耦合。背侧金属凸块38可以是焊料凸块(solder bumps),例如共晶焊料凸块(eutectic solder bumps)、铜凸块、或是由金、银、镍、钨、铝及/或上述合金形成的其他金属凸块。形成的工艺可包括电镀,其中电镀可包括电极电镀(electro plating)或无电极电镀(electroless plating)。
虽然图中显示背侧金属凸块38直接形成于基板穿孔(TSVs)20之上,然而也可形成其他的背侧内连线结构(图中未显示)于背侧金属凸块38与基板穿孔(TSVs)20之间,并且使此背侧内连线结构与背侧金属凸块38与基板穿孔(TSVs)20电性耦合。背侧内连线结构可包括一或多层的重新布线层,各自形成于一介电层中。
请参见图1G,移除载板36,且将另一载板(图中未显示)接合于金属凸块38上。接着,凸块46(包括凸块46A与46B)可接合至中介层晶片100的前侧。凸块46可以是焊料凸块,例如,凸块46可以是铜凸块。接着,使用面对面接合方式(face-to-face bonding)并通过凸块46将第一层裸片(Tie-1 1die)44接合至中介层晶片100。虽然图中仅显示一个裸片44,然而也可将多个裸片44接合至中介层晶片100上。第一层裸片(Tier-1 die)44与第二层裸片(Tier-2 die)22可以是不同类型的裸片。举例而言,第一层裸片(Tier-1 die)44可以是一逻辑裸片,而第二层裸片(Tier-2 die)22可以是一存储器裸片。可观察到的是,凸块46A用于使第一层裸片(Tier-1 die)44与中介层晶片100电性耦合,而凸块46B用于使第一层裸片(Tier-1 die)44与第二层裸片(Tier-2 die)22电性耦合。因此,裸片22与44可直接互相连接,而不需要通过重新布线层(RDLs)、基板穿孔(TSVs)及/或类似的结构传递信号。
在接合第一层裸片(Tier-1 die)44之后,将底部填充物(underfill)45填充到介于第一层裸片(Tier-1 die)44与中介层晶片100之间的缝隙(gap)。可对中介层晶片100进行切割工艺(singulation),切割中介层晶片100,使得裸片彼此分离,每一个裸片包括一个裸片22与中介层100’(如图1G)。在另一实施例中,在将第一层裸片(Tier-1 die)44接合至中介层晶片100之前,即先对中介层晶片100进行切割工艺。
接着,请再次参见图1G,包含中介层100’与裸片22、24的三维集成电路(3DIC)通过凸块38接合至电子元件50。电子元件50可包括一封装基板、一印刷电路板(printed circuit board,PCB)或类似的基板。
图2A到图2C显示另一实施例。除非特别注明,否则与图1A-图1G实施例相同的元件皆使用相同的附图标记表示。此实施例的初始步骤实质上等于图1A~图1D。虽然也可形成某些结构特征,例如介电层34与凸块底层金属(UBMs)37,但为了简化说明,这些结构特征并未显示于后续的实施例中。接着,请参见图2A,形成凸块46,并将第一层裸片(Tier-1 die)44接合至中介层晶片100。虽然仅显示一个第一层裸片(Tier-1 die)44,然而也可将多个第一层裸片(Tier-1 die)44接合至中介层晶片100上。同样地,凸块46A用于使第一层裸片(Tier-1 die)44与基板穿孔(TSVs)20电性耦合,而凸块46B用于使第一层裸片(Tier-1 die 44)与第二层裸片(Tier-2 die)22电性耦合。将底部填充物(underfill)45填入第一层裸片(Tier-1 die)44与中介层晶片100之间的缝隙(gap)。接着,形成模封化合物(molding compound)54,以覆盖第一层裸片(Tier-1die)44与中介层晶片100。
图2B显示凸块38的形成,此时模封化合物54作为一载板,并不需使用额外的载板承载模封化合物54。接着,进行一切割工艺以分割中介层晶片100(以及接合于其上的裸片22与44)。如图2C所示,此三维集成电路(3DIC)接合到电子元件上。
图3A-图3C也显示另一实施例。同样地,与图1A-图1G的实施例中相同的元件使用相同的附图标记表示。此实施例的初始步骤实质上等于图1A~图1D。接着,请参见图3A,第一层裸片44接合到中介层晶片100。同样地,凸块46A用于使第一层裸片(Tier-1 die)44与基板穿孔(TSVs)20电性耦合,而凸块46B用于使第一层裸片(Tier-1 die)44与第二层裸片(Tier-2 die)22电性耦合。接着将底部填充物(underfill)45填入第一层裸片(Tier-1 die)44与中介层晶片100之间的缝隙(gap)。比起显示于图2A中的实施例,可观察到并未有任何的模封化合物形成于此实施例中。接着,载板36接合到第一层裸片44。图3B到图3C显示形成凸块38,以及将最终的三维集成电路(resulting3DIC)接合到电子元件50上。同样地,在进行图3C步骤之前,可进行切割工艺,且可同时对附着于中介层晶片100上的载板36进行切割,或之后载板36可被切割胶带(dicing tape)(图中未显示)所取代。
图4到图6显示各种实施例。请参见图4,由于第二层裸片不够薄,无法填充于介电层18与28中,因此可在形成基板穿孔(TSVs)20之前,在基板10中形成凹口(recess)(凹口被裸片22与介电层18、28所填满)。裸片22可部分地或全部地位于基板10的凹口中。三维集成电路(3DIC)之后续形成工艺大体上与图1A到图3C的步骤相同。可观察到在图4中,一些基板穿孔(TSVs)(标为基板穿孔20A)直接位于裸片22底下,重新布线层12A与基板穿孔(TSVs)20电性耦合。因此,位于裸片22底下的空间可用于传递电子信号。另外,如图5所示,没有任何基板穿孔(TSV)或重新布线层直接形成于第二层裸片22底下。
图6显示另一实施例,其中基板穿孔(TSVs)60形成于第二层裸片22之中,且使第一层裸片44与金属凸块38电性耦合。举例而言,基板穿孔(TSVs)60可使第一层裸片44与金属凸块64电性耦合,金属凸块64与直接位于第二层裸片22底下的基板穿孔(TSVs)20A电性耦合。金属凸块64可以是焊料凸块、铜凸块或类似的结构。由于建立了一条使裸片11与金属凸块38电性耦合的较短电子路径,因此最终的三维集成电路(resulting 3DIC)的电子性能得以获得改善。须注意的是,在图6中,也可形成类似于图4与图5的凹口,其中裸片22可至少部分地或全部地位于凹口中。
在这些实施例中,第一层裸片44与第二层裸片22接合到中介层的同一侧,因此,第一层裸片44与第二层裸片22可通过直接接合而直接沟通(talkdirectly)。另一方面而言,裸片44与22位于同一侧,中介层的另外一侧并不具有任何裸片位于其上,因此,基板上允许存在的金属凸块的数目得以达到最大化(maximized)。此外,也可改善尺寸因子(form factor)。
虽然本发明已以多个较佳实施例公开如上,然其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (10)

1.一种半导体元件,包括:
一中介层,其中该中介层包括:
一基板;
至少一介电层,位于该基板之上;
一导通孔,位于该介电层中;
多个基板穿孔穿过该基板;
一第一金属凸块,位于该介电层中且与所述多个基板穿孔电性耦合;以及
一第二金属凸块,位于该介电层之上,且通过该导通孔与所述多个基板穿孔电性耦合;以及
一第一裸片,埋设于该介电层之中且接合到该第一金属凸块。
2.根据权利要求1所述的半导体元件,其中该中介层包括一硅基板或一介电基板。
3.根据权利要求1所述的半导体元件,,其中该导通孔从高于该第一裸片的顶表面延伸到低于该第一裸片的底表面。
4.一种半导体元件,包括:
一第一裸片;
一中介层,其中该中介层包括:
一基板;
多个第一基板穿孔穿过该基板;
多个第一重新布线层,位于该基板之上且与所述多个第一基板穿孔电性耦合;
一介电层,位于该基板的顶表面上,该第一裸片位于该介电层中,其中该介电层包括一部分直接位于该第一裸片之上,且该介电层包括一第二部分包围该第一裸片;以及
多个导通孔延伸到该介电层中,其中所述多个导通孔包括一第一部分直接位于该第一裸片之上且与该第一裸片电性耦合,且所述多个导通孔包括不与该第一裸片对准的一第二部分,且第二部分与所述多个第一基板穿孔电性耦合,且其中所述多个导通孔的末端彼此等高;
多个第一金属凸块位于该介电层之上且与所述多个导通孔电性耦合,其中所述多个第一金属凸块包括一部分与该第一裸片电性耦合;以及
一第二裸片,位于所述多个第一金属凸块之上且与所述多个第一金属凸块电性耦合。
5.根据权利要求4所述的半导体元件,其中该中介层的基板包括一凹口,且其中该第一裸片的一部分位于该凹口中。
6.根据权利要求4所述的半导体元件,还包括一模封化合物位于该第二裸片之上且包围该第二裸片。
7.一种半导体元件,包括:
一中介层,其中该中介层大体上不包括集成电路元件,且该中介层包括:
一硅基板;
多个第一基板穿孔,位于该基板中;
多个第一金属凸块,位于该中介层的第一侧上,该第一金属凸块的一部分与所述多个第一基板穿孔电性耦合;
多个第二金属凸块,位于相对于该第一侧的一第二侧上,该第二金属凸块的一部分与所述多个第一基板穿孔电性耦合;以及
一第一内连线结构,位于该中介层第一侧上且包括:
至少一介电层,位于该硅基板之上;以及
重新布线层,位于该介电层中且使所述多个第一金属凸块与所述多个第一基板穿孔电性耦合;
一第一裸片,埋设于所述多个介电层中且位于所述多个第一金属凸块底下,其中该第一裸片与所述多个第一金属凸块电性耦合;以及
一第二裸片,位于所述多个第一金属凸块之上且与所述多个第一金属凸块电性耦合。
8.根据权利要求7所述的半导体元件,其中一凹口从该硅基板的顶表面延伸到硅基板中,其中该介电层延伸到该凹口中,且该第一裸片的一部分位于该凹口中。
9.根据权利要求7所述的半导体元件,其中该第一裸片包括所述多个第二基板穿孔位于其中,且该第一裸片使该第二裸片与所述多个第二金属凸块电性耦合。
10.根据权利要求7所述的半导体元件,还包括一模封化合物位于该第二裸片之上且包围该第二裸片。
CN201010583364.9A 2010-06-25 2010-12-07 半导体元件 Active CN102299143B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/823,851 US8426961B2 (en) 2010-06-25 2010-06-25 Embedded 3D interposer structure
US12/823,851 2010-06-25

Publications (2)

Publication Number Publication Date
CN102299143A CN102299143A (zh) 2011-12-28
CN102299143B true CN102299143B (zh) 2014-09-10

Family

ID=45351752

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010583364.9A Active CN102299143B (zh) 2010-06-25 2010-12-07 半导体元件

Country Status (4)

Country Link
US (4) US8426961B2 (zh)
KR (1) KR101299875B1 (zh)
CN (1) CN102299143B (zh)
TW (1) TWI467734B (zh)

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US8847376B2 (en) 2010-07-23 2014-09-30 Tessera, Inc. Microelectronic elements with post-assembly planarization
US8786066B2 (en) 2010-09-24 2014-07-22 Intel Corporation Die-stacking using through-silicon vias on bumpless build-up layer substrates including embedded-dice, and processes of forming same
US8455984B2 (en) * 2010-11-15 2013-06-04 Nanya Technology Corp. Integrated circuit structure and method of forming the same
US8772817B2 (en) * 2010-12-22 2014-07-08 Cree, Inc. Electronic device submounts including substrates with thermally conductive vias
KR101817159B1 (ko) 2011-02-17 2018-02-22 삼성전자 주식회사 Tsv를 가지는 인터포저를 포함하는 반도체 패키지 및 그 제조 방법
TW201236227A (en) * 2011-02-21 2012-09-01 Viking Tech Corp Packaged substrate and fabrication method thereof
US8829684B2 (en) 2011-05-19 2014-09-09 Microsemi Semiconductor Limited Integrated circuit package
GB201108425D0 (en) * 2011-05-19 2011-07-06 Zarlink Semiconductor Inc Integrated circuit package
KR20130007049A (ko) * 2011-06-28 2013-01-18 삼성전자주식회사 쓰루 실리콘 비아를 이용한 패키지 온 패키지
US8963310B2 (en) * 2011-08-24 2015-02-24 Tessera, Inc. Low cost hybrid high density package
US8872312B2 (en) * 2011-09-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. EMI package and method for making same
US8659126B2 (en) * 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
US8610247B2 (en) 2011-12-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a transformer with magnetic features
US11127664B2 (en) 2011-10-31 2021-09-21 Unimicron Technology Corp. Circuit board and manufacturing method thereof
TWI476888B (zh) 2011-10-31 2015-03-11 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
US8957518B2 (en) * 2012-01-04 2015-02-17 Mediatek Inc. Molded interposer package and method for fabricating the same
US20130214408A1 (en) * 2012-02-21 2013-08-22 Broadcom Corporation Interposer Having Conductive Posts
US9881894B2 (en) * 2012-03-08 2018-01-30 STATS ChipPAC Pte. Ltd. Thin 3D fan-out embedded wafer level package (EWLB) for application processor and memory integration
US9337123B2 (en) 2012-07-11 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal structure for integrated circuit package
US8963317B2 (en) 2012-09-21 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US8796829B2 (en) 2012-09-21 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US9490190B2 (en) 2012-09-21 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US10269676B2 (en) * 2012-10-04 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally enhanced package-on-package (PoP)
KR101419601B1 (ko) * 2012-11-20 2014-07-16 앰코 테크놀로지 코리아 주식회사 Emc 웨이퍼 서포트 시스템을 이용한 반도체 디바이스 및 이의 제조방법
TWI489603B (zh) * 2013-01-18 2015-06-21 中原大學 可堆疊式中介基板
US20140209926A1 (en) * 2013-01-28 2014-07-31 Win Semiconductors Corp. Semiconductor integrated circuit
US9673186B2 (en) * 2013-01-28 2017-06-06 Win Semiconductors Corp. Semiconductor integrated circuit
US10096583B2 (en) * 2013-01-28 2018-10-09 WIN Semiconductos Corp. Method for fabricating a semiconductor integrated chip
KR102038488B1 (ko) * 2013-02-26 2019-10-30 삼성전자 주식회사 반도체 패키지의 제조 방법
CN103165561B (zh) * 2013-02-28 2015-09-23 江阴长电先进封装有限公司 一种硅基转接板的封装结构
US9111930B2 (en) 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package on-package with cavity in interposer
US9087777B2 (en) * 2013-03-14 2015-07-21 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
CN103227117B (zh) * 2013-04-15 2016-01-13 江阴长电先进封装有限公司 一种硅基转接板的封装方法
US20140327132A1 (en) * 2013-05-03 2014-11-06 National Center For Advanced Packaging (Ncap China) TSV Backside Reveal Structure and Exposing Process
WO2015003264A1 (en) 2013-07-08 2015-01-15 Motion Engine Inc. Mems device and method of manufacturing
US10273147B2 (en) 2013-07-08 2019-04-30 Motion Engine Inc. MEMS components and method of wafer-level manufacturing thereof
WO2015013827A1 (en) 2013-08-02 2015-02-05 Motion Engine Inc. Mems motion sensor for sub-resonance angular rate sensing
US9633869B2 (en) * 2013-08-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with interposers and methods for forming the same
CN103413768B (zh) * 2013-08-26 2015-11-25 江阴长电先进封装有限公司 一种用于电子器件封装的硅基转接板的制备方法
US9275944B2 (en) * 2013-08-29 2016-03-01 Infineon Technologies Ag Semiconductor package with multi-level die block
US9978637B2 (en) * 2013-10-11 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism for forming patterned metal pad connected to multiple through silicon vias (TSVs)
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
TWI546920B (zh) * 2013-12-23 2016-08-21 矽品精密工業股份有限公司 半導體裝置及其製法
US9870946B2 (en) * 2013-12-31 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and method of forming same
JP6590812B2 (ja) 2014-01-09 2019-10-16 モーション・エンジン・インコーポレーテッド 集積memsシステム
US9230936B2 (en) * 2014-03-04 2016-01-05 Qualcomm Incorporated Integrated device comprising high density interconnects and redistribution layers
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
CN104934391B (zh) * 2014-03-18 2018-05-18 日月光半导体制造股份有限公司 半导体装置和半导体工艺
US20170030788A1 (en) 2014-04-10 2017-02-02 Motion Engine Inc. Mems pressure sensor
KR20150120570A (ko) * 2014-04-17 2015-10-28 에스케이하이닉스 주식회사 반도체 패키지 및 그 제조 방법
KR20150123420A (ko) * 2014-04-24 2015-11-04 에스케이하이닉스 주식회사 반도체 패키지 및 그 제조 방법
US9165793B1 (en) 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
WO2015184531A1 (en) 2014-06-02 2015-12-10 Motion Engine Inc. Multi-mass mems motion sensor
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
CN105271104B (zh) * 2014-06-06 2018-09-14 日月光半导体制造股份有限公司 半导体封装结构的制造方法
US9412806B2 (en) 2014-06-13 2016-08-09 Invensas Corporation Making multilayer 3D capacitors using arrays of upstanding rods or ridges
US9252127B1 (en) 2014-07-10 2016-02-02 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
JP6482785B2 (ja) * 2014-07-28 2019-03-13 ローム株式会社 半導体装置および半導体装置の製造方法
US9484328B2 (en) * 2014-08-01 2016-11-01 Empire Technology Development Llc Backside through silicon vias and micro-channels in three dimensional integration
US9543170B2 (en) 2014-08-22 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
CN104269362A (zh) * 2014-09-10 2015-01-07 南通富士通微电子股份有限公司 硅通孔金属柱背面凸块制造方法
CN104347494A (zh) * 2014-09-10 2015-02-11 南通富士通微电子股份有限公司 硅通孔金属柱背面互联方法
US9799626B2 (en) * 2014-09-15 2017-10-24 Invensas Corporation Semiconductor packages and other circuit modules with porous and non-porous stabilizing layers
US9431351B2 (en) 2014-10-17 2016-08-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
TWI566305B (zh) * 2014-10-29 2017-01-11 巨擘科技股份有限公司 製造三維積體電路的方法
TWI578538B (zh) * 2014-11-28 2017-04-11 美光科技公司 半導體結構
US9659863B2 (en) 2014-12-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
CN105731358B (zh) * 2014-12-08 2018-08-17 中芯国际集成电路制造(上海)有限公司 一种mems器件及其制备方法、电子装置
WO2016090467A1 (en) 2014-12-09 2016-06-16 Motion Engine Inc. 3d mems magnetometer and associated methods
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US9601410B2 (en) 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10319701B2 (en) 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
CA3220839A1 (en) 2015-01-15 2016-07-21 Motion Engine Inc. 3d mems device with hermetic cavity
US9633958B2 (en) 2015-01-30 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
TWI587458B (zh) * 2015-03-17 2017-06-11 矽品精密工業股份有限公司 電子封裝件及其製法與基板結構
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9520333B1 (en) * 2015-06-22 2016-12-13 Inotera Memories, Inc. Wafer level package and fabrication method thereof
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US10170444B2 (en) * 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US10276541B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
CN105140197A (zh) * 2015-07-14 2015-12-09 华进半导体封装先导技术研发中心有限公司 一种含TSV的Fan-out的封装结构及其封装方法
US9536865B1 (en) 2015-07-23 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US10096573B2 (en) * 2015-07-28 2018-10-09 Bridge Semiconductor Corporation Face-to-face semiconductor assembly having semiconductor device in dielectric recess
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9691695B2 (en) 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US10644229B2 (en) 2015-09-18 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
US10186465B2 (en) * 2015-09-25 2019-01-22 Intel Corporation Package-integrated microchannels
KR102413224B1 (ko) * 2015-10-01 2022-06-24 쑤저우 레킨 세미컨덕터 컴퍼니 리미티드 발광 소자, 발광 소자 제조방법 및 발광 모듈
US10178363B2 (en) * 2015-10-02 2019-01-08 Invensas Corporation HD color imaging using monochromatic CMOS image sensors integrated in 3D package
US10269682B2 (en) * 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US9659878B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
KR101933408B1 (ko) * 2015-11-10 2018-12-28 삼성전기 주식회사 전자부품 패키지 및 이를 포함하는 전자기기
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US10038025B2 (en) * 2015-12-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Via support structure under pad areas for BSI bondability improvement
TWI605557B (zh) * 2015-12-31 2017-11-11 矽品精密工業股份有限公司 電子封裝件及其製法與基板結構
US9589941B1 (en) 2016-01-15 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9741669B2 (en) 2016-01-26 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
EP3424078A4 (en) 2016-02-29 2019-05-01 Smoltek AB INTERMEDIATE WITH A NANOSTRUCTURE ENERGY STORAGE DEVICE
US11114353B2 (en) 2016-03-30 2021-09-07 Intel Corporation Hybrid microelectronic substrates
US10553515B2 (en) 2016-04-28 2020-02-04 Intel Corporation Integrated circuit structures with extended conductive pathways
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9881903B2 (en) 2016-05-31 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with epoxy flux residue
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10229901B2 (en) * 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10269732B2 (en) 2016-07-20 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
US10332841B2 (en) 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10256219B2 (en) 2016-09-08 2019-04-09 Intel Corporation Forming embedded circuit elements in semiconductor package assembles and structures formed thereby
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10957649B2 (en) 2016-09-30 2021-03-23 Intel Corporation Overpass dice stacks and methods of using same
US10790257B2 (en) 2016-09-30 2020-09-29 Intel Corporation Active package substrate having anisotropic conductive layer
WO2018063384A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Active package substrate having embedded interposer
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
TWI824467B (zh) 2016-12-14 2023-12-01 成真股份有限公司 標準大宗商品化現場可編程邏輯閘陣列(fpga)積體電路晶片組成之邏輯驅動器
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
CN110024121B (zh) * 2016-12-29 2024-01-02 英特尔公司 超芯片
US20180233484A1 (en) * 2017-02-14 2018-08-16 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
US10447274B2 (en) 2017-07-11 2019-10-15 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells
US11152251B2 (en) 2017-07-31 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device having via formed by ion beam
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
TWI766072B (zh) 2017-08-29 2022-06-01 瑞典商斯莫勒科技公司 能量存儲中介層裝置、電子裝置和製造方法
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
KR102327548B1 (ko) * 2017-10-17 2021-11-16 삼성전자주식회사 반도체 패키지
KR101963292B1 (ko) * 2017-10-31 2019-03-28 삼성전기주식회사 팬-아웃 반도체 패키지
US10879214B2 (en) * 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
CN111683603A (zh) * 2018-01-30 2020-09-18 蝴蝶网络有限公司 用于封装片上超声的方法和设备
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10490503B2 (en) * 2018-03-27 2019-11-26 Intel Corporation Power-delivery methods for embedded multi-die interconnect bridges and methods of assembling same
US10937743B2 (en) * 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US10608638B2 (en) 2018-05-24 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10622321B2 (en) * 2018-05-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures and methods of forming the same
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11075151B2 (en) * 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package with controllable standoff
US10892011B2 (en) 2018-09-11 2021-01-12 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US10937762B2 (en) 2018-10-04 2021-03-02 iCometrue Company Ltd. Logic drive based on multichip package using interconnection bridge
CN110010475B (zh) * 2018-10-10 2020-08-28 浙江集迈科微电子有限公司 一种射频芯片系统级封装的散热模块制作工艺
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
IT201900006736A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
TWI720489B (zh) * 2019-05-21 2021-03-01 台灣積體電路製造股份有限公司 半導體裝置
US20220230931A1 (en) * 2019-05-28 2022-07-21 Epicmems (Xiamen) Co., Ltd. Chip encapsulation structure and encapsulation method
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
WO2020261994A1 (ja) * 2019-06-25 2020-12-30 株式会社村田製作所 複合部品およびその製造方法
US10985154B2 (en) 2019-07-02 2021-04-20 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US11887930B2 (en) 2019-08-05 2024-01-30 iCometrue Company Ltd. Vertical interconnect elevator based on through silicon vias
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11133304B2 (en) 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
CN111883498B (zh) * 2020-06-30 2021-07-06 复旦大学 一种dram芯片三维集成系统及其制备方法
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11532563B2 (en) * 2020-09-21 2022-12-20 Apple Inc. Package integration using fanout cavity substrate
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
KR20220072169A (ko) 2020-11-25 2022-06-02 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US20230061932A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package structure with buffer structure and method for forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1625805A (zh) * 2002-02-06 2005-06-08 揖斐电株式会社 半导体芯片安装用基板及其制造方法和半导体模块
CN1983533A (zh) * 2005-11-30 2007-06-20 飞思卡尔半导体公司 用于封装半导体器件的方法
CN101589468A (zh) * 2007-01-17 2009-11-25 Nxp股份有限公司 具有通过衬底的通路孔的系统级封装
CN101728362A (zh) * 2008-10-28 2010-06-09 台湾积体电路制造股份有限公司 三维集成电路的堆叠接合界面结构

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046564A (en) 1975-07-16 1977-09-06 Xerox Corporation Electrophotographic imaging members with photoconductive layer containing electron acceptor monomers or polymers
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US5075253A (en) 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US6002177A (en) 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
US5973396A (en) * 1996-02-16 1999-10-26 Micron Technology, Inc. Surface mount IC using silicon vias in an area array format or same size as die array
US6213376B1 (en) 1998-06-17 2001-04-10 International Business Machines Corp. Stacked chip process carrier
JP2000019397A (ja) 1998-07-07 2000-01-21 Asahi Optical Co Ltd ズームレンズ系
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6271059B1 (en) 1999-01-04 2001-08-07 International Business Machines Corporation Chip interconnection structure using stub terminals
US6461895B1 (en) 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
US6229216B1 (en) 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
US6243272B1 (en) 1999-06-18 2001-06-05 Intel Corporation Method and apparatus for interconnecting multiple devices on a circuit board
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
JP3670917B2 (ja) * 1999-12-16 2005-07-13 新光電気工業株式会社 半導体装置及びその製造方法
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
KR100364635B1 (ko) 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
KR100435813B1 (ko) 2001-12-06 2004-06-12 삼성전자주식회사 금속 바를 이용하는 멀티 칩 패키지와 그 제조 방법
DE10200399B4 (de) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
US6975016B2 (en) 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
SG111935A1 (en) * 2002-03-04 2005-06-29 Micron Technology Inc Interposer configured to reduce the profiles of semiconductor device assemblies and packages including the same and methods
US6600222B1 (en) 2002-07-17 2003-07-29 Intel Corporation Stacked microelectronic packages
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6790748B2 (en) 2002-12-19 2004-09-14 Intel Corporation Thinning techniques for wafer-to-wafer vertical stacks
US6908565B2 (en) 2002-12-24 2005-06-21 Intel Corporation Etch thinning techniques for wafer-to-wafer vertical stacks
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6946384B2 (en) 2003-06-06 2005-09-20 Intel Corporation Stacked device underfill and a method of fabrication
JP3646720B2 (ja) * 2003-06-19 2005-05-11 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US7320928B2 (en) 2003-06-20 2008-01-22 Intel Corporation Method of forming a stacked device filler
KR100537892B1 (ko) 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
KR100621992B1 (ko) 2003-11-19 2006-09-13 삼성전자주식회사 이종 소자들의 웨이퍼 레벨 적층 구조와 방법 및 이를이용한 시스템-인-패키지
JP4587676B2 (ja) * 2004-01-29 2010-11-24 ルネサスエレクトロニクス株式会社 チップ積層構成の3次元半導体装置
JP4298559B2 (ja) * 2004-03-29 2009-07-22 新光電気工業株式会社 電子部品実装構造及びその製造方法
KR100570514B1 (ko) 2004-06-18 2006-04-13 삼성전자주식회사 웨이퍼 레벨 칩 스택 패키지 제조 방법
KR100618837B1 (ko) 2004-06-22 2006-09-01 삼성전자주식회사 웨이퍼 레벨 패키지를 위한 얇은 웨이퍼들의 스택을형성하는 방법
US7307005B2 (en) 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
US7087538B2 (en) 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
JP4559163B2 (ja) * 2004-08-31 2010-10-06 ルネサスエレクトロニクス株式会社 半導体装置用パッケージ基板およびその製造方法と半導体装置
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7557597B2 (en) 2005-06-03 2009-07-07 International Business Machines Corporation Stacked chip security
US7402515B2 (en) 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7485968B2 (en) * 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7432592B2 (en) 2005-10-13 2008-10-07 Intel Corporation Integrated micro-channels for 3D through silicon architectures
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US7410884B2 (en) 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7402442B2 (en) 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US7279795B2 (en) 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
US7993972B2 (en) * 2008-03-04 2011-08-09 Stats Chippac, Ltd. Wafer level die integration and method therefor
FR2912378B1 (fr) 2007-02-14 2009-03-20 Aircelle Sa Nacelle de moteur a reaction pour un avion
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
US7553752B2 (en) * 2007-06-20 2009-06-30 Stats Chippac, Ltd. Method of making a wafer level integration package
KR101213175B1 (ko) 2007-08-20 2012-12-18 삼성전자주식회사 로직 칩에 층층이 쌓인 메모리장치들을 구비하는반도체패키지
US7880293B2 (en) 2008-03-25 2011-02-01 Stats Chippac, Ltd. Wafer integrated with permanent carrier and method therefor
GB0817831D0 (en) * 2008-09-30 2008-11-05 Cambridge Silicon Radio Ltd Improved packaging technology
US7956442B2 (en) * 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
US7838337B2 (en) * 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US7741148B1 (en) * 2008-12-10 2010-06-22 Stats Chippac, Ltd. Semiconductor device and method of forming an interconnect structure for 3-D devices using encapsulant for structural support
US8067308B2 (en) * 2009-06-08 2011-11-29 Stats Chippac, Ltd. Semiconductor device and method of forming an interconnect structure with TSV using encapsulant for structural support
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1625805A (zh) * 2002-02-06 2005-06-08 揖斐电株式会社 半导体芯片安装用基板及其制造方法和半导体模块
CN1983533A (zh) * 2005-11-30 2007-06-20 飞思卡尔半导体公司 用于封装半导体器件的方法
CN101589468A (zh) * 2007-01-17 2009-11-25 Nxp股份有限公司 具有通过衬底的通路孔的系统级封装
CN101728362A (zh) * 2008-10-28 2010-06-09 台湾积体电路制造股份有限公司 三维集成电路的堆叠接合界面结构

Also Published As

Publication number Publication date
US20130309813A1 (en) 2013-11-21
US20180301376A1 (en) 2018-10-18
US20110316147A1 (en) 2011-12-29
US10847414B2 (en) 2020-11-24
KR101299875B1 (ko) 2013-08-23
TWI467734B (zh) 2015-01-01
US8426961B2 (en) 2013-04-23
US10049928B2 (en) 2018-08-14
US10497616B2 (en) 2019-12-03
TW201201351A (en) 2012-01-01
KR20120000483A (ko) 2012-01-02
CN102299143A (zh) 2011-12-28
US20200035554A1 (en) 2020-01-30

Similar Documents

Publication Publication Date Title
CN102299143B (zh) 半导体元件
CN109427702B (zh) 散热器件和方法
TWI670778B (zh) 封裝結構及其形成方法
US10867885B2 (en) Heat spreading device and method
US9741696B2 (en) Thermal vias disposed in a substrate proximate to a well thereof
CN102163596B (zh) 集成电路元件及其形成方法
US8779572B2 (en) On-chip heat spreader
CN102347320B (zh) 装置及其制造方法
US9818668B2 (en) Thermal vias disposed in a substrate without a liner layer
CN107591387B (zh) 半导体封装件和形成该半导体封装件的方法
US11817410B2 (en) Integrated circuit package and method
EP3945565A1 (en) Packaged semiconductor device including liquid-cooled lid and methods of forming the same
CN115295507A (zh) 集成电路器件和其形成方法
US20200402913A1 (en) Connecting multiple chips using an interconnect device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant