CN102163596B - 集成电路元件及其形成方法 - Google Patents

集成电路元件及其形成方法 Download PDF

Info

Publication number
CN102163596B
CN102163596B CN2011100348266A CN201110034826A CN102163596B CN 102163596 B CN102163596 B CN 102163596B CN 2011100348266 A CN2011100348266 A CN 2011100348266A CN 201110034826 A CN201110034826 A CN 201110034826A CN 102163596 B CN102163596 B CN 102163596B
Authority
CN
China
Prior art keywords
internal connection
wire structure
substrate
chip
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2011100348266A
Other languages
English (en)
Other versions
CN102163596A (zh
Inventor
胡宪斌
余振华
陈明发
林俊成
赖隽仁
林咏淇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102163596A publication Critical patent/CN102163596A/zh
Application granted granted Critical
Publication of CN102163596B publication Critical patent/CN102163596B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor

Abstract

本发明一实施例提供一种集成电路元件及其形成方法,该集成电路元件包括:一中介层,大抵不具有集成电路元件,其中该中介层包括:一基底,具有一第一侧及相反于该第一侧的一第二侧;多个穿基底导电结构,位于该基底之中;一第一内连线结构,位于该基底的该第一侧上,且电性耦接至至少一所述多个穿基底导电结构;以及一第二内连线结构,位于该基底的该第二侧上,且电性耦接至至少一所述多个穿基底导电结构;一第一芯片,接合于该第一内连线结构之上;以及一第二芯片,接合于该第二内连线结构之上。本发明的实施例可避免可能造成的合格率损失。此外,流程时间可减少。

Description

集成电路元件及其形成方法
技术领域
本发明涉及集成电路,且特别涉及包含中介层(interposers)的三维集成电路(three-dimensional integrated circuits,3DICs)及其形成方法。
背景技术
自从集成电路的发明起,半导体工业已经历持续的快速成长,这是由于各种电子元件(即,晶体管、二极管、电阻元件、电容元件等)的整合密度的持续增进。占最大原因地,此整合密度的增进来自于最小特征尺寸(minimumfeature size)的一再缩小化,其允许了更多元件整合至所给予的芯片面积中。
这些整合增进实际上为实质二维的,其中所整合的元件所占的体积实质于半导体晶片的表面上。虽然,微影工艺的显著的增进已于二维集成电路制作中造成相当大的进步,但在二维中所能达到的密度有着物理限制。这些限制其中之一为制造这些元件所需的最小尺寸。并且,当更多的元件放进一芯片中时,需要更多复杂的设计。另一附加限制是因为随着元件数目的增加,元件间的内连线的数目与长度随的而显著增加。当内连线的长度与数目增加时,电路的电阻电容延迟(RC delay)与功率损耗(power consumption)也都增加。
因而形成了三维集成电路(3DICs),其中可堆叠两芯片,其于其中一芯片中形成有穿硅导电结构(through-silicon vias,TSVs)以将另一芯片连接至封装基板。穿硅导电结构常常在前端工艺(front-end-of-line,FEOL)(其中形成了元件,例如,晶体管)之后形成,且还可能在后端工艺(back-end-of-line,BEOL)(其中形成了内连线结构)之后形成。这可能造成已形成的芯片的合格率损失。再者,既然穿硅导电结构是在集成电路形成之后才形成,制造的流程时间也会拉长。
发明内容
为克服上述现有技术的缺陷,本发明一实施例提供一种集成电路元件,包括:一中介层,大抵不具有集成电路元件,其中该中介层包括:一基底,具有一第一侧及相反于该第一侧的一第二侧;多个穿基底导电结构,位于该基底之中;一第一内连线结构,位于该基底的该第一侧上,且电性耦接至至少一所述多个穿基底导电结构;以及一第二内连线结构,位于该基底的该第二侧上,且电性耦接至至少一所述多个穿基底导电结构;一第一芯片,接合于该第一内连线结构之上;以及一第二芯片,接合于该第二内连线结构之上。
本发明一实施例提供一种集成电路元件,包括:一中介层,大抵不具有集成电路元件,其中该中介层包括:一基底,具有一第一侧及相反于该第一侧的一第二侧;多个穿基底导电结构,位于该基底之中;一第一内连线结构,位于该基底的该第一侧上,且电性耦接至至少一所述多个穿基底导电结构;以及一开口,位于该基底之中,且邻接至少一所述多个穿基底导电结构;一第一芯片,接合于该第一内连线结构之上;以及一第二芯片,形成于该开口之中,且接合至该第一内连线结构之上。
本发明一实施例提供一种集成电路元件的形成方法,包括:提供一硅基底,大抵不具有集成电路元件;形成一穿基底导电结构,自该硅基底的一前侧穿过该硅基底至一预定深度;于该硅基底的该前侧上形成一第一内连线结构,其中该第一内连线结构包括至少一介电层及位于该至少一介电层中的金属结构;将一第一芯片接合至该第一内连线结构上;自该硅基底的一背侧移除该硅基底以使该穿基底导电结构的一端露出;于该硅基底的该背侧上形成一第二内连线结构,且该第二内连线结构电性耦接至该穿基底导电结构的该端;形成一开口,穿过该第二内连线结构及该硅基底,并到达该第一内连线结构的一表面;以及将一第二芯片接合至该开口中的该第一内连线结构的该表面上。
本发明的实施例可避免因为于芯片中形成穿基底导电结构时所可能造成的合格率损失。再者,因为中介层晶片及相应的穿基底导电结构可形成于芯片已形成的时候,所以流程时间可减少。
也揭示其他实施例。
附图说明
图1A-图1I显示根据本发明实施例制造三维集成电路的工艺剖面图,其中芯片接合于中介层的两侧上。
图2A-图2D显示根据本发明实施例制造三维集成电路的工艺剖面图,其中使用封装化合物以形成用以形成更多大凸块的平坦表面。
图3A-图3C显示根据本发明实施例制造三维集成电路的工艺剖面图,其中使用虚置硅晶片以形成用以形成更多大凸块的平坦表面。
图4A-图4E显示根据本发明实施例制造三维集成电路的工艺剖面图,其中一芯片位于中介层的开口之中。
图5A-图5D显示根据本发明实施例制造三维集成电路的工艺剖面图,其中中介层中的穿基底导电结构具有不同的长度。
其中,附图标记说明如下:
10~基底;
12、32、58~内连线结构;
14~金属线路;
16~介层窗;
18、25~介电层;
20、20A、20B~穿基底导电结构;
22、50~芯片;
23、52、80~底胶;
24~前侧(金属)凸块(或焊盘);
26~承载基板;
28~粘着层;
34~介电层;
36~凸块下金属层;
38~背侧金属凸块;
38A、38B、38B’~凸块;
49~重布线路;
54~封装化合物;
56~深介层窗;
60~切割胶带;
62~线;
66~虚置晶片;
68~空腔;
69、70~氧化物层;
72~表面;
74~开口;
76~光致抗蚀剂;
88、88A、88B~金属结构;
100~中介层晶片;
L1、L2~长度。
具体实施方式
以下将详细说明本发明实施例的制作与使用方式。然应注意的是,本发明提供许多可供应用的发明概念,其可以多种特定形式实施。文中所举例讨论的特定实施例仅为制造与使用本发明的特定方式,非用以限制本发明的范围。再者,当述及一第一材料层位于一第二材料层上或之上时,包括第一材料层与第二材料层直接接触或间隔有一或更多其他材料层的情形。为了简单与清楚化,许多结构可能会绘成不同的尺寸。
提供一种新颖的三维集成电路(3DIC)及其形成方法。将说明制造实施例的中间工艺步骤。将讨论实施例的变化。在通篇的图式与实施例中,相似的标号将用以标示相似的元件。
请参照图1A,提供基底10。在通篇说明中,基底10及对应的内连线结构12及32(未显示于图1A中,请参照图1D)将共同称作中介层晶片(interposerwafer)100。基底10可由半导体材料形成,例如硅、硅锗、碳化硅、砷化镓、或其他常用的半导体材料。或者,基底10由介电材料形成。中介层晶片100大抵不具有集成电路元件,包含例如是晶体管及二极管的有源元件。此外,中介层晶片100可包括(或可不具有)例如是电容元件、电阻元件、电感元件、变容元件(varactors)、及/或其相似物的被动元件。
前侧(front-side)内连线结构12形成于基底10之上。内连线结构12包括一或更多的介电层18及位于介电层18中的金属线路(metal lines)14及介层窗(vias)16。在通篇的叙述中,中介层晶片100在图1A中朝上的一侧称作前侧,而朝下的一侧称作背侧。金属线路14及介层窗16称作前侧重布线路(front-side redistribution lines,RDLs)。再者,穿基底导电结构(through-substratevias,TSVs)20形成于基底10中至预定深度,且可能穿过一些或全部的介电层18。穿基底导电结构20电性耦接至前侧重布线路(14/16)。
接着,于中介层晶片100的前侧上形成前侧(金属)凸块(或焊盘)24,且其电性耦接至穿基底导电结构20及重布线路(14/16)。在一实施例中,前侧金属凸块24为焊料凸块(solder bumps),例如共晶焊料凸块(eutectic solderbumps)。在另一实施例中,前侧金属凸块24为铜凸块或其他金属凸块,其可由金、银、镍、钨、铝、及/或前述的合金而形成。前侧金属凸块24可突出于内连线结构12的表面。
请参照图1B,将芯片(dies)22接合至前侧金属凸块24。芯片22可为包含集成电路元件的元件芯片,例如包含晶体管、电容元件、电感元件、电阻元件(未显示)、及其相似物于其中。再者,芯片22可为包括核心电路(corecircuit)的逻辑芯片,且可例如为中央处理单元(center processing unit,CPU)芯片。芯片22与前侧金属凸块24之间的接合可为焊料接合(solder bonding)或直接金属对金属接合(direct metal-to-metal bonding),例如铜对铜接合。作为替代地,芯片22在背侧内连线结构32(图1D)形成之后才接合,之后将详细讨论。底胶23注入于芯片22与中介层晶片100之间的间隔之中,并被固化。
请参照图1C,通过粘着层28将承载基板(carrier)26(其可为玻璃晶片,glass wafer)接合至中介层晶片100的前侧之上。粘着层28可为紫外线胶(UVglue)或可由其他所知的粘着材料形成。进行晶片背侧研磨以自背侧薄化基底10直至穿基底导电结构20露出。可进行蚀刻工艺以进一步降低基底10的表面而使穿基底导电结构20突出于基底10剩余部分的背表面。
接着,如图1D及图1E所示,形成背侧内连线结构32以连接穿基底导电结构20。在许多实施例中,背侧内连线结构32可具有相似于前侧内连线结构12的结构,而可包括金属凸块及一或更多层的重布线路。例如,背侧内连线结构32可包括位于基底10上的介电层34,其中介电层34可为低温聚酰亚胺层(low-temperature polyimide layer)或可由所周知的介电材料形成,例如旋涂玻璃(spin-on glass)、氧化硅、氮氧化硅、或其相似物。介电层34可使用化学气相沉积(CVD)而形成。当使用低温聚酰亚胺层时,介电层34也可用作应力缓冲层。如图1E所示,接着形成凸块下金属层(UBM)36及背侧金属凸块38A。相似地,背侧金属凸块38A可为焊料凸块,例如共晶焊料凸块、铜凸块、或其他由金、银、镍、钨、铝、及/或前述的合金所形成的金属凸块。在一实施例中,凸块下金属层36及背侧金属凸块38A的形成可包括毯覆式形成凸块下金属层、于凸块下金属层上形成遮罩,其具有开口、于开口中电镀背侧金属凸块38A、移除遮罩、及进行快速蚀刻以移除毯覆式凸块下金属层先前由遮罩所覆盖的部分。
请参照图1F,将芯片50接合至中介层晶片100的背侧。芯片50可通过前侧内连线结构12、背侧内连线结构32、及穿基底导电结构20而电性耦接至芯片22。芯片22及芯片50可为不同形式的芯片。例如,芯片22可为逻辑芯片,例如CPU芯片,而芯片50可为记忆体芯片。
接着,如图1H所示,于中介层晶片100的背侧上形成大凸块38B,且其电性耦接至背侧内连线结构32、穿基底导电结构20、及可能的芯片22及50。大凸块38B可为焊料凸块,其例如由共晶焊料(eutectic solder)形成,虽然它们也可为其他形式的凸块,例如金属接点(metal bonds)。在其他实施例中,接合芯片50及形成大凸块38B的顺序可颠倒。图1G显示另一实施例,其中先形成大凸块38B,接着接合芯片50以形成显示于图1H中的结构。在这些实施例中,凸块38A(以下称为小凸块)及大凸块38B可使用单一步骤凸块形成工艺(one-step bump formation process)而同时形成。
在图1I中,取下如图1H所示的承载基板26,例如借由对粘着层28(UV胶)照射紫外光而造成粘着层28失去黏性。接着,将切割胶带60贴合至最终结构的前侧。接着,沿着线62进行切割以将中介层晶片100及接合于中介层晶片100上的芯片22及50分离成数个芯片。
在图1I中,由于芯片50的存在,中介层晶片100的部分的背侧不可用于形成大凸块38B。然而,在显示于图2A-图2D的其他实施例中,可形成更多的大凸块38B,这是因为一些大凸块38B(如图2D所示,标示为38B’)可形成作垂直对准并重叠于芯片50。简要的工艺流程显示于图2A-图2D之中。此实施例的起始工艺步骤可实质上相同于第1A-1F图所示者,其中形成了用以接合芯片50的小凸块38A,而这一次不形成大凸块38B。接着,如图2A所示,将芯片50接合至中介层晶片100的背侧。将底胶52填入芯片50与中介层晶片100之间的间隔之中,并接着将底胶52固化。
请参照图2B,将封装化合物(molding compound)54(或称为封装材料,encapsulating material)形成于芯片50及中介层晶片100之上。封装化合物54的顶表面可高于或等高于芯片50的顶表面。请参照图2C,形成深介层窗(deepvias)56以穿过封装化合物54,并电性耦接至背侧内连线结构32。接着,形成内连线结构58,其包括电性耦接至深介层窗56的重布线路49,并接着形成凸块下金属层(未标示)及大凸块38B。再次,可于凸块下金属层之下形成应力缓冲层,其可由聚酰亚胺层或防焊层(solder resist)而形成。可发现一些大凸块38B(标作38B’)可直接形成于部分的芯片50之上,且与部分的芯片50垂直重叠,因而大凸块38B的数目可增加至超出图1I所示的结构。
在图2D中,取下承载基板26。接着,将切割胶带60贴合至最终结构的前侧。接着,进行切割以将中介层晶片100及接合于中介层晶片100上的芯片22及50分离成多个芯片。
图3A-图3D显示另一实施例,此实施例的起始工艺步骤可实质上相同于显示于图1A-图1F及图2A中者,其中芯片50接合于中介层晶片100之上。接着,如第3A图所示,将虚置晶片(dummy wafer)66接合至中介层晶片100之上,其中虚置晶片的材料也称为封装材料(encapsulating material)。在一实施例中,虚置晶片66为一虚置硅晶片。在另一实施例中,虚置晶片66由其他半导体材料形成,例如碳化硅、砷化镓、或其相似物。虚置晶片66可不具有集成电路元件(例如电容元件、电阻元件、变容元件、电感元件、及/或晶体管)于其中。在又一实施例中,虚置晶片66可为介电材料晶片(dielectric wafer)。空腔(cavities)68形成于虚置晶片66之中。虚置晶片66于中介层晶片100上的接合可包括氧化物对氧化物接合(oxide-to-oxidebonding)。在一实施例中,在虚置晶片66接合至中介层晶片100上之前,预先于虚置晶片66上形成氧化物层69,其可由氧化硅(例如,热氧化物,thermaloxide)所形成,且氧化物层70可预先形成于中介层晶片100之上。接着,通过氧化物对氧化物接合而将氧化物层69接合至氧化物层70之上。因此,芯片50藏置于空腔68之中,且最终结构的表面72是平坦的。
接着,如图3B所示,形成穿基底导电结构(即,深介层窗56)以穿过虚置晶片66及氧化物层69及70,并电性耦接至背侧内连线结构32。接着,形成内连线结构58,其包括电性耦接至深介层窗56的重布线路49,并接着形成凸块下金属层(未标示)及大凸块38B。再次,大凸块38B包括凸块38B’,其直接形成于芯片50之上,且垂直重叠于芯片50。
在图3C中,将承载基板26取下。接着,将切割胶带60粘贴至最终结构的一侧上。接着,进行切割以将中介层晶片100及接合于中介层晶片100上的芯片22及50分离成多个芯片。
图4A-图4D显示又一实施例,其中芯片50位于中介层晶片100中的空腔中。首先,形成显示于图4A中的结构,其中工艺可实质上相同于如图1A-图1E所示。因此,形成的细节在此不再讨论。接着,如图4B所示,于中介层晶片100中形成开口74,例如可使用湿式蚀刻或干式蚀刻。其进行可借由形成并图案化光致抗蚀剂76,且接着通过光致抗蚀剂76中的开口蚀刻中介层晶片100。蚀刻可停止于当蚀刻到前侧内连线结构12时,或前侧内连线结构12中的部分的金属结构(metal features)露出时。前侧内连线结构12中所露出的金属结构可用作焊盘(bond pads)。
在图4C中,将芯片50插入开口74中,并接合至前侧内连线结构12中的金属结构之上。接合可为焊料接合、金属对金属接合、或其相似接合。因此,芯片50可电性耦接至芯片22及穿基底导电结构20。接着,将底胶80填入开口74中的剩余空间之中。
请参照图4D,形成大凸块38B。在另一实施例中,大凸块38B形成于开口74的形成(图4B)与芯片50的接合之前。在图4E中,粘贴切割胶带60,且可将显示于图4E中的三维集成电路切割成个别的芯片。
在另一实施例中,在形成显示于图4C的结构之后,将封装化合物54(第2B-2D图)或虚置晶片66(图3A-图3C)形成/接合于显示于图4C的结构上,以及中介层晶片100的相对于芯片22的相反侧上。剩余的工艺步骤可相似于显示于第2B-2D图及图3A-图3C中,因而在此不再讨论。再者,在每一上述讨论的实施例中,可在接合芯片50之前或之后,将芯片22接合至中介层晶片50之上,并可在形成大凸块38B后接合。
在以上的实施例中,中介层晶片100中的穿基底导电结构20(例如参照图1C)可具有相同的长度。在另一实施例中,穿基底导电结构20可具有不同的长度。图5A-图5D显示形成具有不同长度的穿基底导电结构20的实施例。请参照图5A,提供中介层晶片100的基底10,且内连线结构12形成于基底10之上。内连线结构12包括凸块下金属层及凸块(未标示)。接着,如图5B所示,将芯片22接合至中介层晶片100之上,且将底胶23注入芯片22与中介层晶片100之间的间隔中,并将底胶23固化。
请参照图5C,将承载基板26(其可为玻璃晶片)通过粘着层28而接合至中介层晶片100的前侧上。进行晶片背侧研磨以自背侧将基底10薄化至所需的厚度。接着,形成穿基底导电结构开口(TSV openings)(其由所示的穿基底导电结构20所占据)以穿过基底10。再者,穿基底导电结构开口延伸进入介电层18,其用以形成内连线结构12。接着,于穿基底导电结构开口中填充金属材料以形成穿基底导电结构20,并形成用以电性隔离穿基底导电结构20与基底10的介电层25。在最终结构中,(内连线结构12的)金属结构(metalfeatures)88包括金属结构88A及88B,其中金属结构88A相较于金属结构88B埋藏于较深的介电层18内部。在穿基底导电结构开口的形成中,金属结构88A及88B可用作蚀刻停止层,因而介电层18的蚀刻停止于不同的深度。因此,穿基底导电结构20A的长度L1(图5D)大于穿基底导电结构20B的长度L2。后续工艺步骤可实质上相同于显示于图1E-图1I中,或实质相同于显示于其他实施例中者(当合适时)。
可发现在实施例中(例如,图1I、图2D、图3C及图4E),在任何的芯片22及50中不需穿基底导电结构,虽然穿基底导电结构是可以形成于芯片22及50的。然而,芯片22及50中的元件可电性耦接至大凸块38B,并彼此电性耦接。在公知的三维集成电路中,穿基底导电结构在形成了元件芯片中的集成电路之后才形成。这造成合格率损失及封装流程时间的增加。然而,在实施例中,任何元件芯片22及50中不需穿基底导电结构,而可避免因为于芯片22及50中形成穿基底导电结构时所可能造成的合格率损失。再者,因为中介层晶片100及相应的穿基底导电结构可形成于芯片22及50已形成的时候,所以流程时间可减少。
虽然本发明已以数个优选实施例揭示如上,然而其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视随附的权利要求所界定的范围为准。

Claims (9)

1.一种集成电路元件,包括:
一中介层,不具有集成电路元件,其中该中介层包括:
一基底,具有一第一侧及相反于该第一侧的一第二侧;
多个穿基底导电结构,位于该基底之中;
一第一内连线结构,位于该基底的该第一侧上,且电性耦接至至少一所述多个穿基底导电结构;以及
一第二内连线结构,位于该基底的该第二侧上,且电性耦接至至少一所述多个穿基底导电结构;
一第一芯片,接合于该第一内连线结构之上;
一第二芯片,接合于该第二内连线结构之上;
一封装材料,位于该第二内连线结构之上,且包围该第二芯片;
一封装材料,位于该第二芯片之上;以及
一空腔,位于该封装材料与该第二芯片之间。
2.如权利要求1所述的集成电路元件,还包括:
一导电介层窗,穿过该封装材料,且电性耦接至该第二内连线结构;
一第三内连线结构,位于该封装材料之上,且电性连接至该导电介层窗;以及
一凸块,形成于该第三内连线结构之上,且电性耦接至该导电介层窗。
3.如权利要求1所述的集成电路元件,还包括:
一导电介层窗,穿过该封装材料,且电性耦接至该第二内连线结构;
一第三内连线结构,位于该封装材料之上,且电性耦接至该导电介层窗;以及
一凸块,形成于该第三内连线结构之上,且电性耦接至该导电介层窗。
4.如权利要求3所述的集成电路元件,其中该封装材料包括一虚置硅基底。
5.如权利要求1所述的集成电路元件,其中所述多个穿基底导电结构具有不同的长度,且自该基底延伸进入该第一内连线结构不同的深度。
6.如权利要求1所述的集成电路元件,还包括一焊料凸块,位于该第二内连线结构之上,且邻接该第二芯片。
7.一种集成电路元件,包括:
一中介层,不具有集成电路元件,其中该中介层包括:
一基底,具有一第一侧及相反于该第一侧的一第二侧;
多个穿基底导电结构,位于该基底之中;
一第一内连线结构,位于该基底的该第一侧上,且电性耦接至至少一所述多个穿基底导电结构;以及
一开口,位于该基底之中,且邻接至少一所述多个穿基底导电结构;
一第一芯片,接合于该第一内连线结构之上;以及
一第二芯片,形成于该开口之中,且接合至该第一内连线结构之上。
8.如权利要求7所述的集成电路元件,还包括一第二内连线结构,位于该基底的该第二侧之上,且电性耦接至少一所述多个穿基底导电结构。
9.一种集成电路元件的形成方法,包括:
提供一硅基底,不具有集成电路元件;
形成一穿基底导电结构,自该硅基底的一前侧穿过该硅基底至一预定深度;
于该硅基底的该前侧上形成一第一内连线结构,其中该第一内连线结构包括至少一介电层及位于该至少一介电层中的金属结构;
将一第一芯片接合至该第一内连线结构上;
自该硅基底的一背侧移除该硅基底以使该穿基底导电结构的一端露出;
于该硅基底的该背侧上形成一第二内连线结构,且该第二内连线结构电性耦接至该穿基底导电结构的该端;
形成一开口,穿过该第二内连线结构及该硅基底,并到达该第一内连线结构的一表面;以及
将一第二芯片接合至该开口中的该第一内连线结构的该表面上。
CN2011100348266A 2010-02-05 2011-01-30 集成电路元件及其形成方法 Active CN102163596B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US30185510P 2010-02-05 2010-02-05
US61/301,855 2010-02-05
US12/774,558 US10297550B2 (en) 2010-02-05 2010-05-05 3D IC architecture with interposer and interconnect structure for bonding dies
US12/774,558 2010-05-05

Publications (2)

Publication Number Publication Date
CN102163596A CN102163596A (zh) 2011-08-24
CN102163596B true CN102163596B (zh) 2013-08-21

Family

ID=44353047

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011100348266A Active CN102163596B (zh) 2010-02-05 2011-01-30 集成电路元件及其形成方法

Country Status (3)

Country Link
US (4) US10297550B2 (zh)
CN (1) CN102163596B (zh)
TW (1) TWI430406B (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8564141B2 (en) * 2010-05-06 2013-10-22 SK Hynix Inc. Chip unit and stack package having the same
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
FR2979167B1 (fr) * 2011-08-19 2014-03-14 Soitec Silicon On Insulator Formation de structures semi-conductrices liées dans des processus d'intégration tridimensionnelle en utilisant des substrats récupérables
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8748284B2 (en) * 2011-08-12 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing decoupling MIM capacitor designs for interposers
US20130075892A1 (en) * 2011-09-27 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Three Dimensional Integrated Circuit Fabrication
US8519516B1 (en) 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
US9006004B2 (en) 2012-03-23 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Probing chips during package formation
US9613917B2 (en) 2012-03-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package (PoP) device with integrated passive device in a via
KR101965906B1 (ko) * 2012-07-12 2019-04-04 에스케이하이닉스 주식회사 반도체 장치
US9165887B2 (en) * 2012-09-10 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with discrete blocks
US8809155B2 (en) 2012-10-04 2014-08-19 International Business Machines Corporation Back-end-of-line metal-oxide-semiconductor varactors
US9391041B2 (en) 2012-10-19 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out wafer level package structure
US9123780B2 (en) 2012-12-19 2015-09-01 Invensas Corporation Method and structures for heat dissipating interposers
US10032696B2 (en) 2012-12-21 2018-07-24 Nvidia Corporation Chip package using interposer substrate with through-silicon vias
US9633869B2 (en) * 2013-08-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with interposers and methods for forming the same
US9373527B2 (en) 2013-10-30 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on package structure and method
US9209048B2 (en) * 2013-12-30 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Two step molding grinding for packaging applications
US10056267B2 (en) * 2014-02-14 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
US9786574B2 (en) 2015-05-21 2017-10-10 Globalfoundries Inc. Thin film based fan out and multi die package platform
US11037904B2 (en) 2015-11-24 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
US9812414B1 (en) * 2016-06-17 2017-11-07 Nanya Technology Corporation Chip package and a manufacturing method thereof
US9761535B1 (en) * 2016-06-27 2017-09-12 Nanya Technology Corporation Interposer, semiconductor package with the same and method for preparing a semiconductor package with the same
US9922845B1 (en) * 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
DE102017109670B4 (de) * 2017-05-05 2019-12-24 Infineon Technologies Ag Herstellungsverfahren für ein Chippackage mit Seitenwandmetallisierung
US10483187B2 (en) * 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
CN109285825B (zh) * 2017-07-21 2021-02-05 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
DE102018124695A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrieren von Passivvorrichtungen in Package-Strukturen
US10510634B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US11107770B1 (en) * 2019-06-27 2021-08-31 Xilinx, Inc. Integrated electrical/optical interface with two-tiered packaging
US11121097B1 (en) * 2020-05-22 2021-09-14 Globalfoundries U.S. Inc. Active x-ray attack prevention device
US11393763B2 (en) * 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
TWI734545B (zh) * 2020-07-03 2021-07-21 財團法人工業技術研究院 半導體封裝結構
EP3944290A1 (en) * 2020-07-21 2022-01-26 Infineon Technologies Austria AG Chip-substrate composite semiconductor device
US11437329B2 (en) 2020-10-14 2022-09-06 Globalfoundries U.S. Inc. Anti-tamper x-ray blocking package
CN112908869A (zh) * 2021-01-19 2021-06-04 上海先方半导体有限公司 一种封装结构及其制备方法
US20220392832A1 (en) * 2021-06-06 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US11815717B2 (en) 2021-11-12 2023-11-14 Globalfoundries U.S. Inc. Photonic chip security structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1925720A (zh) * 2005-09-01 2007-03-07 日本特殊陶业株式会社 布线基板、电容器
CN101572260A (zh) * 2008-04-30 2009-11-04 南亚科技股份有限公司 多芯片堆迭封装体

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5588356A (en) * 1978-12-27 1980-07-04 Hitachi Ltd Semiconductor device
US4811082A (en) * 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4990462A (en) * 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5075253A (en) * 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
JP3166210B2 (ja) * 1991-07-10 2001-05-14 三菱化学株式会社 熱転写記録用シート
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5600530A (en) 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US6002177A (en) * 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
US5789271A (en) * 1996-03-18 1998-08-04 Micron Technology, Inc. Method for fabricating microbump interconnect for bare semiconductor dice
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
EP2270845A3 (en) * 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
JP3395621B2 (ja) * 1997-02-03 2003-04-14 イビデン株式会社 プリント配線板及びその製造方法
US5986874A (en) 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US6740682B2 (en) 1997-08-29 2004-05-25 Tularik Limited Meta-benzamidine derivatives as serine protease inhibitors
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6072690A (en) * 1998-01-15 2000-06-06 International Business Machines Corporation High k dielectric capacitor with low k sheathed signal vias
US6213376B1 (en) * 1998-06-17 2001-04-10 International Business Machines Corp. Stacked chip process carrier
US6281042B1 (en) * 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6274821B1 (en) * 1998-09-16 2001-08-14 Denso Corporation Shock-resistive printed circuit board and electronic device including the same
US6271059B1 (en) * 1999-01-04 2001-08-07 International Business Machines Corporation Chip interconnection structure using stub terminals
US6461895B1 (en) * 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
US6229216B1 (en) * 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6243272B1 (en) * 1999-06-18 2001-06-05 Intel Corporation Method and apparatus for interconnecting multiple devices on a circuit board
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
JP3670917B2 (ja) * 1999-12-16 2005-07-13 新光電気工業株式会社 半導体装置及びその製造方法
US6356801B1 (en) 2000-05-19 2002-03-12 International Business Machines Corporation High availability work queuing in an automated data storage library
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
IT250133Y1 (it) * 2000-11-20 2003-07-24 Rucco Ambrogio Involucro a struttura semplificata per il confezionamentoparticolarmente di camicie e simili
KR100364635B1 (ko) * 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
JP2002290030A (ja) * 2001-03-23 2002-10-04 Ngk Spark Plug Co Ltd 配線基板
US6465084B1 (en) * 2001-04-12 2002-10-15 International Business Machines Corporation Method and structure for producing Z-axis interconnection assembly of printed wiring board elements
KR100394808B1 (ko) * 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
JP3817453B2 (ja) * 2001-09-25 2006-09-06 新光電気工業株式会社 半導体装置
TW498472B (en) * 2001-11-27 2002-08-11 Via Tech Inc Tape-BGA package and its manufacturing process
KR100435813B1 (ko) * 2001-12-06 2004-06-12 삼성전자주식회사 금속 바를 이용하는 멀티 칩 패키지와 그 제조 방법
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
DE10200399B4 (de) * 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
WO2003063242A1 (en) * 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6887769B2 (en) * 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6975016B2 (en) * 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7573136B2 (en) * 2002-06-27 2009-08-11 Micron Technology, Inc. Semiconductor device assemblies and packages including multiple semiconductor device components
US6600222B1 (en) * 2002-07-17 2003-07-29 Intel Corporation Stacked microelectronic packages
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6929974B2 (en) * 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6790748B2 (en) * 2002-12-19 2004-09-14 Intel Corporation Thinning techniques for wafer-to-wafer vertical stacks
US6908565B2 (en) * 2002-12-24 2005-06-21 Intel Corporation Etch thinning techniques for wafer-to-wafer vertical stacks
JP3972846B2 (ja) * 2003-03-25 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6946384B2 (en) * 2003-06-06 2005-09-20 Intel Corporation Stacked device underfill and a method of fabrication
US7320928B2 (en) * 2003-06-20 2008-01-22 Intel Corporation Method of forming a stacked device filler
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
KR100537892B1 (ko) * 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
US7345350B2 (en) * 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
KR100621992B1 (ko) * 2003-11-19 2006-09-13 삼성전자주식회사 이종 소자들의 웨이퍼 레벨 적층 구조와 방법 및 이를이용한 시스템-인-패키지
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
KR100570514B1 (ko) 2004-06-18 2006-04-13 삼성전자주식회사 웨이퍼 레벨 칩 스택 패키지 제조 방법
KR100618837B1 (ko) * 2004-06-22 2006-09-01 삼성전자주식회사 웨이퍼 레벨 패키지를 위한 얇은 웨이퍼들의 스택을형성하는 방법
US7307005B2 (en) 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
JP4343044B2 (ja) * 2004-06-30 2009-10-14 新光電気工業株式会社 インターポーザ及びその製造方法並びに半導体装置
US7087538B2 (en) * 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7317256B2 (en) * 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7557597B2 (en) * 2005-06-03 2009-07-07 International Business Machines Corporation Stacked chip security
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7402515B2 (en) * 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7432592B2 (en) * 2005-10-13 2008-10-07 Intel Corporation Integrated micro-channels for 3D through silicon architectures
US7528494B2 (en) * 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US7410884B2 (en) * 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7402442B2 (en) * 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US7279795B2 (en) * 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
KR100750741B1 (ko) * 2006-09-15 2007-08-22 삼성전기주식회사 캡 웨이퍼, 이를 구비한 반도체 칩, 및 그 제조방법
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
US20080303154A1 (en) 2007-06-11 2008-12-11 Hon-Lin Huang Through-silicon via interconnection formed with a cap layer
KR101213175B1 (ko) * 2007-08-20 2012-12-18 삼성전자주식회사 로직 칩에 층층이 쌓인 메모리장치들을 구비하는반도체패키지
US7851246B2 (en) * 2007-12-27 2010-12-14 Stats Chippac, Ltd. Semiconductor device with optical sensor and method of forming interconnect structure on front and backside of the device
US8039303B2 (en) * 2008-06-11 2011-10-18 Stats Chippac, Ltd. Method of forming stress relief layer between die and interconnect structure
US7956442B2 (en) * 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
US7928534B2 (en) * 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US8168470B2 (en) * 2008-12-08 2012-05-01 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure in substrate for IPD and baseband circuit separated by high-resistivity molding compound
US8093711B2 (en) * 2009-02-02 2012-01-10 Infineon Technologies Ag Semiconductor device
US8263434B2 (en) * 2009-07-31 2012-09-11 Stats Chippac, Ltd. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP
US8143097B2 (en) * 2009-09-23 2012-03-27 Stats Chippac, Ltd. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP
US8008121B2 (en) * 2009-11-04 2011-08-30 Stats Chippac, Ltd. Semiconductor package and method of mounting semiconductor die to opposite sides of TSV substrate
US20110193235A1 (en) * 2010-02-05 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Architecture with Die Inside Interposer
US8455995B2 (en) * 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8674513B2 (en) * 2010-05-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for substrate
US8581418B2 (en) * 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8338945B2 (en) * 2010-10-26 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Molded chip interposer structure and methods
US8557684B2 (en) * 2011-08-23 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit (3DIC) formation process
US8643148B2 (en) * 2011-11-30 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer structures and methods for forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1925720A (zh) * 2005-09-01 2007-03-07 日本特殊陶业株式会社 布线基板、电容器
CN101572260A (zh) * 2008-04-30 2009-11-04 南亚科技股份有限公司 多芯片堆迭封装体

Also Published As

Publication number Publication date
US20110193221A1 (en) 2011-08-11
US20210167018A1 (en) 2021-06-03
CN102163596A (zh) 2011-08-24
US20190273046A1 (en) 2019-09-05
US10297550B2 (en) 2019-05-21
TWI430406B (zh) 2014-03-11
TW201135879A (en) 2011-10-16
US10923431B2 (en) 2021-02-16
US11854990B2 (en) 2023-12-26
US20240105632A1 (en) 2024-03-28

Similar Documents

Publication Publication Date Title
CN102163596B (zh) 集成电路元件及其形成方法
US11233036B2 (en) Interconnect structure with redundant electrical connectors and associated systems and methods
TWI669785B (zh) 半導體封裝體及其形成方法
US10867897B2 (en) PoP device
US9837383B2 (en) Interconnect structure with improved conductive properties and associated systems and methods
CN102299143B (zh) 半导体元件
CN102347320B (zh) 装置及其制造方法
TWI538145B (zh) 半導體裝置及其製造方法
US8810006B2 (en) Interposer system and method
CN112005371A (zh) 用于多层3d集成的裸片堆叠
US20190006263A1 (en) Heat Spreading Device and Method
CN102148220A (zh) 半导体装置
CN110610907A (zh) 半导体结构和形成半导体结构的方法
US11855067B2 (en) Integrated circuit package and method
TWI741388B (zh) 半導體封裝體及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant