TWI467734B - 半導體元件 - Google Patents

半導體元件 Download PDF

Info

Publication number
TWI467734B
TWI467734B TW099140310A TW99140310A TWI467734B TW I467734 B TWI467734 B TW I467734B TW 099140310 A TW099140310 A TW 099140310A TW 99140310 A TW99140310 A TW 99140310A TW I467734 B TWI467734 B TW I467734B
Authority
TW
Taiwan
Prior art keywords
die
substrate
vias
dielectric layer
layer
Prior art date
Application number
TW099140310A
Other languages
English (en)
Other versions
TW201201351A (en
Inventor
施應慶
林俊成
邱文智
鄭心圃
余振華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201201351A publication Critical patent/TW201201351A/zh
Application granted granted Critical
Publication of TWI467734B publication Critical patent/TWI467734B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/4007Surface contacts, e.g. bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2518Disposition being disposed on at least two different sides of the body, e.g. dual array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0306Inorganic insulating substrates, e.g. ceramic, glass
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/01Tools for processing; Objects used during processing
    • H05K2203/0147Carriers and holders
    • H05K2203/016Temporary inorganic, non-metallic carrier, e.g. for processing or transferring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/02Details related to mechanical or acoustic processing, e.g. drilling, punching, cutting, using ultrasound
    • H05K2203/025Abrading, e.g. grinding or sand blasting

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Wire Bonding (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Description

半導體元件
本發明係有關於積體電路,且特別是有關於一種包括中介層(interposers)之三維積體電路(3DIC)與其製法。
各種電子元件(例如電晶體(transistors)、二極體(diodes)、電阻器(resistors)、電容(capacitors)等等)之集積密度(integration density)已經持續快速的提升。對大多數元件而言,集積密度之提升來自於不斷地降低特徵結構之尺寸(feature size),以允許更多的元件整合於既定面積之中。
這些整合在本質上屬於二維(2D)的提升,其中積體元件所佔據之體積實質上位於半導體晶圓之表面上。雖然微影技術的顯著提升使2D積體電路的形成得到相當大的改進,然而對於2D空間可達到之密度仍有物理上的限制。其中之一的限制在於需要微小尺寸以構成這些元件。此外,當越多元件置於一晶片時,需要越複雜的設計。另外一項額外的限制在於,當元件數目增加時,元件間的內連線結構(interconnections)的數目與長度會顯著的增加。當內連線結構數目與長度增加時,電路RC延遲(circuit RC delay)與功率消耗(power consumption)兩者皆會增加。
為了解決上述之限制,因此衍生出三維(3D)積體電路(ICs),其中晶粒被堆疊,且藉由使用導線接合(wire-bonding)、覆晶接合(flip-chip bonding)及/或矽穿孔(through-silicon vias,TSV)等技術將晶粒接合在一起,用以將晶粒連接到封裝基板上。然而,習知的3D ICs具有高尺寸因子(high form factor)。
本發明提供一種半導體元件,包括:一中介層(interposer),其中該中介層包括:一基板;至少一介電層,位於該基板之上;複數個基板穿孔(through-substrate vias,TSVs)穿過該基板;一第一金屬凸塊,位於該介電層中且與該些基板穿孔電性耦合;以及一第二金屬凸塊,位於該介電層之上;以及一第一晶粒,埋設於該介電層之中且接合到該第一金屬凸塊。
本發明亦提供一種半導體元件,包括:一第一晶粒;一中介層,其中該中介層包括:一基板;複數個第一基板穿孔(through-substrate vias,TSVs)穿過該基板;複數個第一重新佈線層(redistribution lines,RDLs),位於該基板之上且與該些基板穿孔電性耦合;一介電層,位於該基板之頂表面上,該第一晶粒位於該介電層中,其中該介電層包括一部份直接位於該第一晶粒之上,且該介電層包括一第二部份包圍該第一晶粒;以及複數個導通孔(vias)延伸到該介電層中,其中該些導通孔包括一第一部份直接位於該第一晶粒之上且與該第一晶粒電性耦合,且該些導通孔包括不與該第一晶粒對準之一第二部份,且第二部份與該些第一基板穿孔電性耦合,且其中該些導通孔之末端彼此等高;複數個第一金屬凸塊位於該介電層之上且與該些導通孔電性耦合,其中該些第一金屬凸塊包括一部份與該第一晶粒電性耦合;以及一第二晶粒,位於該些第一金屬凸塊之上且與該些第一金屬凸塊電性耦合。
本發明另提供一種半導體元件,包括:一中介層,其中該中介層大體上不包括積體電路元件,且該中介層包括:一矽基板;複數個第一基板穿孔(through-substrate vias,TSVs),位於該基板中;複數個第一金屬凸塊,位於該中介層之第一側上,該第一金屬凸塊之一部份與該些第一基板穿孔電性耦合;複數個第二金屬凸塊,位於相對於該第一側之一第二側上,該第二金屬凸塊之一部份與該些第一基板穿孔電性耦合;一第一內連線結構(interconnect structure),位於該中介層第一側上且包括:至少一介電層,位於該矽基板之上;以及重新佈線層(redistribution lines),位於該介電層中且使該些第一金屬凸塊與該些第一基板穿孔電性耦合;一第一晶粒,埋設於該些介電層中且位於該些第一金屬凸塊底下,其中該第一晶粒與該些第一金屬凸塊電性耦合;以及一第二晶粒,位於該些第一金屬凸塊之上且與該些第一金屬凸塊電性耦合。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
以下特舉出本發明之實施例,並配合所附圖式作詳細說明。以下實施例的元件和設計係為了簡化所揭露之發明,並非用以限定本發明。
本發明提供一種新穎的三維積體電路(3DIC)與其製法。實施例中敘述各個製程階段。亦討論各種實施例之變化。於各種圖示與示範實施例中,類似的元件用類似的標號表示。
請參見第1A圖,提供一基板10。於說明書中,基板10與形成於基板10之相對兩側的介電層與金屬結構特徵合稱為中介層晶圓100。基板10由一半導體材料所組成,例如矽、矽化鍺(silicon germanium)、碳化矽(silicon carbide)、砷化鎵(gallium arsenide)或其他常用之半導體材料。另外,基板10亦可由介電材料所組成,例如氧化矽(silicon oxide)。中介層晶圓100可包括,或可大體上不包括主動元件,例如電晶體。第1A圖顯示主動元件14形成於基板10的表面上。另外,中介層晶圓100可包括,或不包括被動元件,例如電容(capacitors)、電阻(resistors)、電感(inductors)及/或類似之元件。基板穿孔(through-substrate vias,TSVs) 20形成於基板10中,並且形成絕緣層21以電性隔離基板穿孔(TSVs) 20與基板10。
重新佈線層(redistribution lines,RDLs) 12形成於基板10之上,且與該基板穿孔(TSVs) 20電性耦合。重新佈線層(RDLs) 12可包括用於傳遞(routing)電子訊號(electrical signal)之金屬線(metal lines),與用於連接後續形成的導通孔(vias)所需之金屬墊(metal pads)。於一實施例中,重新佈線層(RDLs) 12由銅所組成,話雖如此,也可由其他材料所組成,例如鋁(aluminum)、銀(silver)、鈦(titanium)、鉭(tantalum)、鎢(tungsten)、鎳(nickel)及/或上述之合金。於說明書中,請參見第1A圖,中介層晶圓100面向上的一側稱為前側(front side),面向下的一側稱為背側(back side)。介電層18形成於重新佈線層(RDLs)12之上,並且形成一平坦表面。形成介電層18之材料可包括氮化物、聚亞醯胺(polyimide)、有機材料、無機材料以及類似之材料。於形成介電層18之後,重新佈線層(RDLs) 12被覆蓋。
接著,請參見第1B圖,晶粒22藉由,例如黏著層24,附著於介電層18之上,其中晶粒22具有接合墊(或金屬凸塊)26的一側背對於介電層18。雖然僅顯示一個晶粒,但是亦可接合複數個相同的晶粒22到中介層晶圓100上。晶粒22可以是包含積體電路元件形成於其中之元件晶粒,其中積體電路元件包括例如電晶體(transistors)、電容(capacitors)、電感(inductors)、電阻(resistors)(圖中未顯示),或類似之元件。此外,晶粒22可以是包含核心電路(core circuits)之一邏輯晶粒(logic die),或是一記憶體晶粒(memory die)。晶粒22之後亦可稱為第二層晶粒(tier-2 die)。
請參見第1C圖,介電層28形成於介電層18與晶粒22之上。基本上(essentially),形成介電層28之材料可等於形成介電層18之材料或與介電層18之材料屬於同一類型。介電層28之後將包括兩部份,第一部分覆蓋晶粒22,而第二部份圍繞晶粒22。接著,請參見第1D圖,形成導通孔(vias) 30、重新佈線層(RDLs) 32與介電層34。於形成上述結構之製程的實施例中,首先(例如藉由蝕刻)於介電層18與28中形成導通孔開口(vias opening),其中利用位於重新佈線層(RDLs) 12中的金屬墊與晶粒22之接合墊26作為蝕刻停止層。接著將金屬材料填充於導通孔開口中以形成導通孔30。接著,形成重新佈線層(RDLs) 32。於另一示範實施例中,導通孔30與重新佈線層(RDLs) 32可藉由同一金屬填充製程而形成。介電層34形成於重新佈線層(RDLs) 32之上。接著於介電層34之中形成開口,其中重新佈線層(RDLs) 32暴露的部份作為接合墊(bond pads)。重新佈線層(RDLs) 32由銅所組成,話雖如此,也可由其他材料所組成,例如鋁(aluminum)、銀(silver)、鎢(tungsten)、鈦(titanium)、鉭(tantalum)及/或上述之合金。此外,重新佈線層(RDLs) 32可具有複合結構,複合結構包括一銅層與形成於銅層之上的金屬拋光層(metal finish),其中金屬拋光層可包括鎳層(nickel layer)、鈀層(palladium layer)、金層(gold layer)或上述之組合。在本文之後的敘述中,將介電層18與28、重新佈線層(RDLs) 12與32合稱為內連線結構(interconnect structure)。
請參見第1E圖,一載板(carrier) 36,其可以是玻璃晶圓,藉由金屬凸塊35與黏著層39(其可以是紫外光膠,或由其他已知之黏著材料所組成)接合到中介層晶圓100之前側。接著,請參見第1F圖,從中介層晶圓100之背側進行晶圓背側研磨,藉以薄化基板10,直到基板穿孔(TSVs)20暴露在外為止。為了降低基板10之背表面的高度,尚可進行一蝕刻製程,使得基板穿孔(TSVs) 20延伸突出於基板10的剩餘部份。
請再次參見第1F圖,凸塊底層金屬(under-metal-metallurgies,UBMs)37與背側金屬凸塊38形成於中介層晶圓100之背側,且上述兩者與基板穿孔20電性耦合。背側金屬凸塊38可以是焊料凸塊(solder bumps),例如共晶焊料凸塊(eutectic solder bumps)、銅凸塊、或是由金、銀、鎳、鎢、鋁及/或上述合金形成之其他金屬凸塊。形成之製程可包括電鍍,其中電鍍可包括電極電鍍(electro plating)或無電極電鍍(electroless plating)。
雖然圖中顯示背側金屬凸塊38直接形成於基板穿孔(TSVs) 20之上,然而亦可形成其他的背側內連線結構(圖中未顯示)於背側金屬凸塊38與基板穿孔(TSVs) 20之間,並且使此背側內連線結構與背側金屬凸塊38與基板穿孔(TSVs) 20電性耦合。背側內連線結構可包括一或多層之重新佈線層,各自形成於一介電層中。
請參見第1G圖,移除載板36,且將另一載板(圖中未顯示)接合於金屬凸塊38上。接著,凸塊46(包括凸塊46A與46B)可接合至中介層晶圓100之前側。凸塊46可以是焊料凸塊,例如,凸塊46可以是銅凸塊。接著,使用面對面接合方式(face-to-face bonding)並藉由凸塊46將第一層晶粒(Tier-1 die) 44接合至中介層晶圓100。雖然圖中僅顯示一個晶粒44,然而亦可將複數個晶粒44接合至中介層晶圓100上。第一層晶粒(Tier-1 die) 44與第二層晶粒(Tier-2 die) 22可以是不同類型的晶粒。舉例而言,第一層晶粒(Tier-1 die) 44可以是一邏輯晶粒,而第二層晶粒(Tier-2 die) 22可以是一記憶體晶粒。可觀察到的是,凸塊46A用於使第一層晶粒(Tier-1 die) 44與中介層晶圓100電性耦合,而凸塊46B用於使第一層晶粒(Tier-1 die) 44與第二層晶粒(Tier-2 die) 22電性耦合。因此,晶粒22與44可直接互相連接,而不需要透過重新佈線層(RDLs)、基板穿孔(TSVs)及/或類似的結構傳遞訊號。
於接合第一層晶粒(Tier-1 die) 44之後,將底部填充物(underfill) 45填充到介於第一層晶粒(Tier-1 die) 44與中介層晶圓100之間的縫隙(gap)。可對中介層晶圓100進行切割製程(singulation),切割中介層晶圓100,使得晶粒彼此分離,每一個晶粒包括一個晶粒22與中介層100’(如第1G圖)。於另一實施例中,在將第一層晶粒(Tier-1 die) 44接合至中介層晶圓100之前,即先對中介層晶圓100進行切割製程。
接著,請再次參見第1G圖,包含中介層100’與晶粒22、24之三維積體電路(3DIC)藉由凸塊38接合至電子元件50。電子元件50可包括一封裝基板、一印刷電路板(printed circuit board,PCB)或類似之基板。
第2A到2C圖顯示另一實施例。除非特別註明,否則與第1A-1G圖實施例相同之元件皆使用相同的標號表示。此實施例之初始步驟實質上等於第1A圖~第1D圖。雖然亦可形成某些結構特徵,例如介電層34與凸塊底層金屬(UBMs) 37,但為了簡化說明,這些結構特徵並未顯示於後續的實施例中。接著,請參見第2A圖,形成凸塊46,並將第一層晶粒(Tier-1 die) 44接合至中介層晶圓100。雖然僅顯示一個第一層晶粒(Tier-1 die) 44,然而亦可將複數個第一層晶粒(Tier-1 die) 44接合至中介層晶圓100上。同樣地,凸塊46A用於使第一層晶粒(Tier-1 die) 44與基板穿孔(TSVs) 20電性耦合,而凸塊46B用於使第一層晶粒(Tier-1 die 44)與第二層晶粒(Tier-2 die) 22電性耦合。將底部填充物(underfill) 45填入第一層晶粒(Tier-1 die) 44與中介層晶圓100之間的縫隙(gap)。接著,形成模封化合物(molding compound) 54,以覆蓋第一層晶粒(Tier-1 die) 44與中介層晶圓100。
第2B圖顯示凸塊38的形成,此時模封化合物54作為一載板,並不需使用額外的載板承載模封化合物54。接著,進行一切割製程以分割中介層晶圓100(以及接合於其上的晶粒22與44)。如第2C圖所示,此三維積體電路(3DIC)接合到電子元件上。
第3A-3C圖亦顯示另一實施例。同樣地,與第1A-1G圖之實施例中相同的元件使用相同的標號表示。此實施例之初始步驟實質上等於第1A圖~第1D圖。接著,請參見第3A圖,第一層晶粒44接合到中介層晶圓100。同樣地,凸塊46A用於使第一層晶粒(Tier-1 die) 44與基板穿孔(TSVs) 20電性耦合,而凸塊46B用於使第一層晶粒(Tier-1 die) 44與第二層晶粒(Tier-2 die) 22電性耦合。接著將底部填充物(underfill) 45填入第一層晶粒(Tier-1 die) 44與中介層晶圓100之間的縫隙(gap)。比起顯示於第2A圖中的實施例,可觀察到並未有任何的模封化合物形成於此實施例中。接著,載板36接合到第一層晶粒44。第3B圖到第3C圖顯示形成凸塊38,以及將最終的三維積體電路(resulting 3DIC)接合到電子元件50上。同樣地,於進行第3C圖步驟之前,可進行切割製程,且可同時對附著於中介層晶圓100上的載板36進行切割,或之後載板36可被切割膠帶(dicing tape)(圖中未顯示)所取代。
第4圖到第6圖顯示各種實施例。請參見第4圖,由於第二層晶粒不夠薄,無法填充於介電層18與28中,因此可於形成基板穿孔(TSVs)20之前,於基板10中形成凹口(recess)(凹口被晶粒22與介電層18、28所填滿)。晶粒22可部份地或全部地位於基板10的凹口中。三維積體電路(3DIC)之後續形成製程大體上與第1A圖到第3C圖之步驟相同。可觀察到於第4圖中,一些基板穿孔(TSVs)(標為基板穿孔20A)直接位於晶粒22底下,重新佈線層12A與基板穿孔(TSVs) 20電性耦合。因此,位於晶粒22底下的空間可用於傳遞電子訊號。另外,如第5圖所示,沒有任何基板穿孔(TSV)或重新佈線層直接形成於第二層晶粒22底下。
第6圖顯示另一實施例,其中基板穿孔(TSVs) 60形成於第二層晶粒22之中,且使第一層晶粒44與金屬凸塊38電性耦合。舉例而言,基板穿孔(TSVs) 60可使第一層晶粒44與金屬凸塊64電性耦合,金屬凸塊64係與直接位於第二層晶粒22底下的基板穿孔(TSVs) 20A電性耦合。金屬凸塊64可以是焊料凸塊、銅凸塊或類似的結構。由於建立了一條使晶粒11與金屬凸塊38電性耦合的較短電子路徑,因此最終的三維積體電路(resulting 3DIC)之電子性能得以獲得改善。須注意的是,於第6圖中,亦可形成類似於第4圖與第5圖之凹口,其中晶粒22可至少部份地或全部地位於凹口中。
於這些實施例中,第一層晶粒44與第二層晶粒22接合到中介層的同一側,因此,第一層晶粒44與第二層晶粒22可藉由直接接合而直接溝通(talk directly)。另一方面而言,晶粒44與22位於同一側,中介層的另外一側並不具有任何晶粒位於其上,因此,基板上允許存在的金屬凸塊的數目得以達到最大化(maximized)。此外,亦可改善尺寸因子(form factor)。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10...基板
12、12A...重新佈線層(RDLs)
14...主動元件
18...介電層
20、20A...基板穿孔(TSVs)
21...絕緣層
22...第二層晶粒(tier-2 die)
24...黏著層
26...接合墊(bonding pads)
28‧‧‧介電層
30‧‧‧導通孔(vias)
32‧‧‧重新佈線層(RDLs)
34‧‧‧介電層
35‧‧‧金屬凸塊
36‧‧‧載板(carrier)
37‧‧‧凸塊底層金屬(UBMs)
38‧‧‧金屬凸塊
39‧‧‧黏著劑
44‧‧‧第一層晶粒(dier-1 die)
45‧‧‧底部填充物(underfill)
46A、46B‧‧‧凸塊
50‧‧‧電子元件
54‧‧‧模封化合物
60‧‧‧基板穿孔(TSVs)
100‧‧‧中介層晶圓
100’‧‧‧中介層
第1A~1G圖為一系列剖面圖,用以說明本發明一實施例製作三維積體電路(3DIC)之各個製程階段,其中晶粒埋設於中介層一側的介電層中。
第2A~2C圖為一系列剖面圖,用以說明本發明一較佳實施例製作三維積體電路(3DIC)之各個製程階段,其中形成金屬凸塊於中介層之相對側之前,第一層晶粒與對各自的模封化合物接合/塗佈於中介層上。
第3A~3C圖為一系列剖面圖,用以說明本發明一較佳實施例製作三維積體電路(3DIC)之各個製程階段,其中形成焊料凸塊於中介層相對側上之後,第一層晶粒(不具有模封化合物)接合至中介層。
第4~6圖為一系列剖面圖,用以說明本發明各種三維積體電路(3DIC)之實施例。
10...基板
18...介電層
20...基板穿孔(TSVs)
22...第二層晶粒(tier-2 die)
28...介電層
30...導通孔(vias)
34...介電層
37...凸塊底層金屬(UBMs)
38...金屬凸塊
44...第一層晶粒(dier-1 die)
45...底部填充物(underfill)
46A、46B...凸塊
50...電子元件
100’...中介層

Claims (10)

  1. 一種半導體元件,包括:一中介層(interposer),其中該中介層包括:一基板;至少一介電層,位於該基板之上;複數個基板穿孔(through-substrate vias,TSVs)穿過該基板;一第一金屬凸塊,位於該介電層中且與該些基板穿孔電性耦合;以及一第二金屬凸塊,位於該介電層之上;以及一第一晶粒,埋設於該介電層之中且藉由一重新佈線層接合到該第一金屬凸塊,其中該重新佈線層位於該第一晶粒遠離該基板之一側。
  2. 如申請專利範圍第1項所述之半導體元件,其中該中介層包括一矽基板或一介電基板。
  3. 如申請專利範圍第1項所述之半導體元件,尚包括一導通孔(via)於該介電層中,且藉由該導通孔使第二金屬凸塊與該些基板穿孔電性耦合,其中該導通孔從高於該第一晶粒之頂表面延伸到低於該第一晶粒之底表面。
  4. 一種半導體元件,包括:一第一晶粒;一中介層,其中該中介層包括:一基板;複數個第一基板穿孔(through-substrate vias,TSVs) 穿過該基板;複數個第一重新佈線層(redistribution lines,RDLs),位於該基板之上且與該些基板穿孔電性耦合;一介電層,位於該基板之頂表面上,該第一晶粒位於該介電層中,其中該介電層包括一部份直接位於該第一晶粒之上,且該介電層包括一第二部份包圍該第一晶粒;以及複數個導通孔(vias)延伸到該介電層中,其中該些導通孔包括一第一部份直接位於該第一晶粒之上且與該第一晶粒電性耦合,且該些導通孔包括不與該第一晶粒對準之一第二部份,且第二部份與該些第一基板穿孔電性耦合,且其中該些導通孔之末端彼此等高;複數個第一金屬凸塊位於該介電層之上且與該些導通孔電性耦合,其中該些第一金屬凸塊包括一部份與該第一晶粒電性耦合,其中該第一晶粒藉由該重新佈線層接合到該第一金屬凸塊,且該重新佈線層位於該第一晶粒遠離該基板之一側;以及一第二晶粒,位於該些第一金屬凸塊之上且與該些第一金屬凸塊電性耦合。
  5. 如申請專利範圍第4項所述之半導體元件,其中該中介層之基板包括一凹口(recess),且其中該第一晶粒之一部份位於該凹口中。
  6. 如申請專利範圍第4項所述之半導體元件,尚包括一模封化合物(molding compound)位於該第二晶粒之上且包圍該第二晶粒。
  7. 一種半導體元件,包括:一中介層,其中該中介層大體上不包括積體電路元件,且該中介層包括:一矽基板;複數個第一基板穿孔(through-substrate vias,TSVs),位於該基板中;複數個第一金屬凸塊,位於該中介層之第一側上,該第一金屬凸塊之一部份與該些第一基板穿孔電性耦合;複數個第二金屬凸塊,位於相對於該第一側之一第二側上,該第二金屬凸塊之一部份與該些第一基板穿孔電性耦合;一第一內連線結構(interconnect structure),位於該中介層第一側上且包括:至少一介電層,位於該矽基板之上;以及重新佈線層(redistribution lines),位於該介電層中且使該些第一金屬凸塊與該些第一基板穿孔電性耦合;一第一晶粒,埋設於該些介電層中且位於該些第一金屬凸塊底下,其中該第一晶粒藉由該重新佈線層與該些第一金屬凸塊電性耦合且該重新佈線層位於該第一晶粒遠離該基板之一側;以及一第二晶粒,位於該些第一金屬凸塊之上且與該些第一金屬凸塊電性耦合。
  8. 如申請專利範圍第7項所述之半導體元件,其中一凹口從該矽基板之頂表面延伸到矽基板中,其中該介 電層延伸到該凹口中,且該第一晶粒之一部份位於該凹口中。
  9. 如申請專利範圍第7項所述之半導體元件,其中該第一晶粒包括該些第二基板穿孔位於其中,且該第一晶粒使該第二晶粒與該些第二金屬凸塊電性耦合。
  10. 如申請專利範圍第7項所述之半導體元件,尚包括一模封化合物(molding compound)位於該第二晶粒之上且包圍該第二晶粒。
TW099140310A 2010-06-25 2010-11-23 半導體元件 TWI467734B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/823,851 US8426961B2 (en) 2010-06-25 2010-06-25 Embedded 3D interposer structure

Publications (2)

Publication Number Publication Date
TW201201351A TW201201351A (en) 2012-01-01
TWI467734B true TWI467734B (zh) 2015-01-01

Family

ID=45351752

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099140310A TWI467734B (zh) 2010-06-25 2010-11-23 半導體元件

Country Status (4)

Country Link
US (4) US8426961B2 (zh)
KR (1) KR101299875B1 (zh)
CN (1) CN102299143B (zh)
TW (1) TWI467734B (zh)

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US8847376B2 (en) 2010-07-23 2014-09-30 Tessera, Inc. Microelectronic elements with post-assembly planarization
US8786066B2 (en) * 2010-09-24 2014-07-22 Intel Corporation Die-stacking using through-silicon vias on bumpless build-up layer substrates including embedded-dice, and processes of forming same
US8455984B2 (en) * 2010-11-15 2013-06-04 Nanya Technology Corp. Integrated circuit structure and method of forming the same
US8772817B2 (en) * 2010-12-22 2014-07-08 Cree, Inc. Electronic device submounts including substrates with thermally conductive vias
KR101817159B1 (ko) 2011-02-17 2018-02-22 삼성전자 주식회사 Tsv를 가지는 인터포저를 포함하는 반도체 패키지 및 그 제조 방법
TW201236227A (en) * 2011-02-21 2012-09-01 Viking Tech Corp Packaged substrate and fabrication method thereof
GB201108425D0 (en) * 2011-05-19 2011-07-06 Zarlink Semiconductor Inc Integrated circuit package
US8829684B2 (en) 2011-05-19 2014-09-09 Microsemi Semiconductor Limited Integrated circuit package
KR20130007049A (ko) * 2011-06-28 2013-01-18 삼성전자주식회사 쓰루 실리콘 비아를 이용한 패키지 온 패키지
US8963310B2 (en) * 2011-08-24 2015-02-24 Tessera, Inc. Low cost hybrid high density package
US8872312B2 (en) * 2011-09-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. EMI package and method for making same
US8610247B2 (en) 2011-12-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a transformer with magnetic features
US8659126B2 (en) * 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
US11127664B2 (en) 2011-10-31 2021-09-21 Unimicron Technology Corp. Circuit board and manufacturing method thereof
TWI476888B (zh) 2011-10-31 2015-03-11 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
US8957518B2 (en) * 2012-01-04 2015-02-17 Mediatek Inc. Molded interposer package and method for fabricating the same
US20130214408A1 (en) * 2012-02-21 2013-08-22 Broadcom Corporation Interposer Having Conductive Posts
US9881894B2 (en) * 2012-03-08 2018-01-30 STATS ChipPAC Pte. Ltd. Thin 3D fan-out embedded wafer level package (EWLB) for application processor and memory integration
US9337123B2 (en) 2012-07-11 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal structure for integrated circuit package
US8963317B2 (en) 2012-09-21 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US9490190B2 (en) 2012-09-21 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US8796829B2 (en) 2012-09-21 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US10269676B2 (en) * 2012-10-04 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally enhanced package-on-package (PoP)
KR101419601B1 (ko) 2012-11-20 2014-07-16 앰코 테크놀로지 코리아 주식회사 Emc 웨이퍼 서포트 시스템을 이용한 반도체 디바이스 및 이의 제조방법
TWI489603B (zh) * 2013-01-18 2015-06-21 中原大學 可堆疊式中介基板
US9673186B2 (en) * 2013-01-28 2017-06-06 Win Semiconductors Corp. Semiconductor integrated circuit
US20140209926A1 (en) * 2013-01-28 2014-07-31 Win Semiconductors Corp. Semiconductor integrated circuit
US10096583B2 (en) * 2013-01-28 2018-10-09 WIN Semiconductos Corp. Method for fabricating a semiconductor integrated chip
KR102038488B1 (ko) * 2013-02-26 2019-10-30 삼성전자 주식회사 반도체 패키지의 제조 방법
CN103165561B (zh) * 2013-02-28 2015-09-23 江阴长电先进封装有限公司 一种硅基转接板的封装结构
US9111930B2 (en) * 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package on-package with cavity in interposer
US9087777B2 (en) * 2013-03-14 2015-07-21 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
CN103227117B (zh) * 2013-04-15 2016-01-13 江阴长电先进封装有限公司 一种硅基转接板的封装方法
US9076699B2 (en) * 2013-05-03 2015-07-07 National Center For Advanced Packaging Co., Ltd. TSV backside reveal structure and exposing process
US10273147B2 (en) 2013-07-08 2019-04-30 Motion Engine Inc. MEMS components and method of wafer-level manufacturing thereof
EP3019442A4 (en) 2013-07-08 2017-01-25 Motion Engine Inc. Mems device and method of manufacturing
EP3028007A4 (en) 2013-08-02 2017-07-12 Motion Engine Inc. Mems motion sensor and method of manufacturing
US9633869B2 (en) 2013-08-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with interposers and methods for forming the same
CN103413768B (zh) * 2013-08-26 2015-11-25 江阴长电先进封装有限公司 一种用于电子器件封装的硅基转接板的制备方法
US9275944B2 (en) * 2013-08-29 2016-03-01 Infineon Technologies Ag Semiconductor package with multi-level die block
US9978637B2 (en) * 2013-10-11 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism for forming patterned metal pad connected to multiple through silicon vias (TSVs)
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
TWI546920B (zh) * 2013-12-23 2016-08-21 矽品精密工業股份有限公司 半導體裝置及其製法
US9870946B2 (en) * 2013-12-31 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and method of forming same
WO2015103688A1 (en) 2014-01-09 2015-07-16 Motion Engine Inc. Integrated mems system
US9230936B2 (en) * 2014-03-04 2016-01-05 Qualcomm Incorporated Integrated device comprising high density interconnects and redistribution layers
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
CN104934391B (zh) * 2014-03-18 2018-05-18 日月光半导体制造股份有限公司 半导体装置和半导体工艺
WO2015154173A1 (en) 2014-04-10 2015-10-15 Motion Engine Inc. Mems pressure sensor
KR20150120570A (ko) * 2014-04-17 2015-10-28 에스케이하이닉스 주식회사 반도체 패키지 및 그 제조 방법
KR20150123420A (ko) * 2014-04-24 2015-11-04 에스케이하이닉스 주식회사 반도체 패키지 및 그 제조 방법
US9165793B1 (en) 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
WO2015184531A1 (en) 2014-06-02 2015-12-10 Motion Engine Inc. Multi-mass mems motion sensor
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
CN105271104B (zh) * 2014-06-06 2018-09-14 日月光半导体制造股份有限公司 半导体封装结构的制造方法
US9412806B2 (en) 2014-06-13 2016-08-09 Invensas Corporation Making multilayer 3D capacitors using arrays of upstanding rods or ridges
US9252127B1 (en) 2014-07-10 2016-02-02 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
JP6482785B2 (ja) * 2014-07-28 2019-03-13 ローム株式会社 半導体装置および半導体装置の製造方法
US9484328B2 (en) * 2014-08-01 2016-11-01 Empire Technology Development Llc Backside through silicon vias and micro-channels in three dimensional integration
US9543170B2 (en) 2014-08-22 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
CN104269362A (zh) * 2014-09-10 2015-01-07 南通富士通微电子股份有限公司 硅通孔金属柱背面凸块制造方法
CN104347494A (zh) * 2014-09-10 2015-02-11 南通富士通微电子股份有限公司 硅通孔金属柱背面互联方法
WO2016044179A2 (en) * 2014-09-15 2016-03-24 Invensas Corporation Electronic structures strengthened by porous and non-porous layers, and methods of fabrication
US9431351B2 (en) 2014-10-17 2016-08-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
TWI566305B (zh) * 2014-10-29 2017-01-11 巨擘科技股份有限公司 製造三維積體電路的方法
TWI578538B (zh) * 2014-11-28 2017-04-11 美光科技公司 半導體結構
US9659863B2 (en) 2014-12-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
CN105731358B (zh) * 2014-12-08 2018-08-17 中芯国际集成电路制造(上海)有限公司 一种mems器件及其制备方法、电子装置
CA3004760A1 (en) 2014-12-09 2016-06-16 Motion Engine Inc. 3d mems magnetometer and associated methods
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US9601410B2 (en) 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10319701B2 (en) 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
CA3004763A1 (en) 2015-01-15 2016-07-21 Motion Engine Inc. 3d mems device with hermetic cavity
US9633958B2 (en) 2015-01-30 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
TWI587458B (zh) * 2015-03-17 2017-06-11 矽品精密工業股份有限公司 電子封裝件及其製法與基板結構
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9520333B1 (en) * 2015-06-22 2016-12-13 Inotera Memories, Inc. Wafer level package and fabrication method thereof
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US10276541B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
US10170444B2 (en) * 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
CN105140197A (zh) * 2015-07-14 2015-12-09 华进半导体封装先导技术研发中心有限公司 一种含TSV的Fan-out的封装结构及其封装方法
US9536865B1 (en) 2015-07-23 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
US10096573B2 (en) * 2015-07-28 2018-10-09 Bridge Semiconductor Corporation Face-to-face semiconductor assembly having semiconductor device in dielectric recess
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9691695B2 (en) 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US10644229B2 (en) 2015-09-18 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
US10186465B2 (en) * 2015-09-25 2019-01-22 Intel Corporation Package-integrated microchannels
KR102413224B1 (ko) * 2015-10-01 2022-06-24 쑤저우 레킨 세미컨덕터 컴퍼니 리미티드 발광 소자, 발광 소자 제조방법 및 발광 모듈
US10178363B2 (en) * 2015-10-02 2019-01-08 Invensas Corporation HD color imaging using monochromatic CMOS image sensors integrated in 3D package
US10269682B2 (en) * 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US9659878B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
KR101933408B1 (ko) * 2015-11-10 2018-12-28 삼성전기 주식회사 전자부품 패키지 및 이를 포함하는 전자기기
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US10038025B2 (en) * 2015-12-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Via support structure under pad areas for BSI bondability improvement
TWI605557B (zh) * 2015-12-31 2017-11-11 矽品精密工業股份有限公司 電子封裝件及其製法與基板結構
US9589941B1 (en) 2016-01-15 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9741669B2 (en) 2016-01-26 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10438880B2 (en) 2016-02-29 2019-10-08 Smoltek Ab Interposer with a nanostructure energy storage device
US11114353B2 (en) 2016-03-30 2021-09-07 Intel Corporation Hybrid microelectronic substrates
US10553515B2 (en) 2016-04-28 2020-02-04 Intel Corporation Integrated circuit structures with extended conductive pathways
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9881903B2 (en) 2016-05-31 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with epoxy flux residue
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10229901B2 (en) * 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10269732B2 (en) 2016-07-20 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
US10332841B2 (en) 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10256219B2 (en) 2016-09-08 2019-04-09 Intel Corporation Forming embedded circuit elements in semiconductor package assembles and structures formed thereby
WO2018063384A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Active package substrate having embedded interposer
WO2018063383A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Active package substrate having anisotropic conductive layer
US10957649B2 (en) 2016-09-30 2021-03-23 Intel Corporation Overpass dice stacks and methods of using same
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
CN108288616B (zh) 2016-12-14 2023-04-07 成真股份有限公司 芯片封装
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
KR20190092407A (ko) 2016-12-29 2019-08-07 인텔 코포레이션 하이퍼칩
US20180233484A1 (en) * 2017-02-14 2018-08-16 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
US10447274B2 (en) 2017-07-11 2019-10-15 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells
US11152251B2 (en) 2017-07-31 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device having via formed by ion beam
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
TWI766072B (zh) 2017-08-29 2022-06-01 瑞典商斯莫勒科技公司 能量存儲中介層裝置、電子裝置和製造方法
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
KR102327548B1 (ko) * 2017-10-17 2021-11-16 삼성전자주식회사 반도체 패키지
KR101963292B1 (ko) * 2017-10-31 2019-03-28 삼성전기주식회사 팬-아웃 반도체 패키지
US10879214B2 (en) * 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
JP2021511750A (ja) 2018-01-30 2021-05-06 バタフライ ネットワーク,インコーポレイテッド 超音波オンチップをパッケージする方法及び装置
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10490503B2 (en) * 2018-03-27 2019-11-26 Intel Corporation Power-delivery methods for embedded multi-die interconnect bridges and methods of assembling same
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US10608638B2 (en) 2018-05-24 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10622321B2 (en) * 2018-05-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures and methods of forming the same
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11075151B2 (en) * 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package with controllable standoff
US10892011B2 (en) 2018-09-11 2021-01-12 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
CN109300882A (zh) * 2018-09-20 2019-02-01 蔡亲佳 堆叠嵌入式封装结构及其制作方法
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US10937762B2 (en) 2018-10-04 2021-03-02 iCometrue Company Ltd. Logic drive based on multichip package using interconnection bridge
CN110010475B (zh) * 2018-10-10 2020-08-28 浙江集迈科微电子有限公司 一种射频芯片系统级封装的散热模块制作工艺
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
IT201900006740A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
TWI720489B (zh) * 2019-05-21 2021-03-01 台灣積體電路製造股份有限公司 半導體裝置
US20220230931A1 (en) * 2019-05-28 2022-07-21 Epicmems (Xiamen) Co., Ltd. Chip encapsulation structure and encapsulation method
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US10985154B2 (en) 2019-07-02 2021-04-20 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US11887930B2 (en) 2019-08-05 2024-01-30 iCometrue Company Ltd. Vertical interconnect elevator based on through silicon vias
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US11133304B2 (en) 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
CN111883498B (zh) * 2020-06-30 2021-07-06 复旦大学 一种dram芯片三维集成系统及其制备方法
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11532563B2 (en) * 2020-09-21 2022-12-20 Apple Inc. Package integration using fanout cavity substrate
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
KR20220072169A (ko) 2020-11-25 2022-06-02 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11990418B2 (en) * 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package structure with buffer structure and method for forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7741148B1 (en) * 2008-12-10 2010-06-22 Stats Chippac, Ltd. Semiconductor device and method of forming an interconnect structure for 3-D devices using encapsulant for structural support

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046564A (en) 1975-07-16 1977-09-06 Xerox Corporation Electrophotographic imaging members with photoconductive layer containing electron acceptor monomers or polymers
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US5075253A (en) 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US6002177A (en) 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
US5973396A (en) * 1996-02-16 1999-10-26 Micron Technology, Inc. Surface mount IC using silicon vias in an area array format or same size as die array
US6213376B1 (en) 1998-06-17 2001-04-10 International Business Machines Corp. Stacked chip process carrier
JP2000019397A (ja) 1998-07-07 2000-01-21 Asahi Optical Co Ltd ズームレンズ系
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6271059B1 (en) 1999-01-04 2001-08-07 International Business Machines Corporation Chip interconnection structure using stub terminals
US6461895B1 (en) 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
US6229216B1 (en) 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
US6243272B1 (en) 1999-06-18 2001-06-05 Intel Corporation Method and apparatus for interconnecting multiple devices on a circuit board
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
JP3670917B2 (ja) * 1999-12-16 2005-07-13 新光電気工業株式会社 半導体装置及びその製造方法
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
KR100364635B1 (ko) 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
KR100435813B1 (ko) 2001-12-06 2004-06-12 삼성전자주식회사 금속 바를 이용하는 멀티 칩 패키지와 그 제조 방법
DE10200399B4 (de) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6975016B2 (en) 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US7049528B2 (en) * 2002-02-06 2006-05-23 Ibiden Co., Ltd. Semiconductor chip mounting wiring board, manufacturing method for same, and semiconductor module
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
SG111935A1 (en) * 2002-03-04 2005-06-29 Micron Technology Inc Interposer configured to reduce the profiles of semiconductor device assemblies and packages including the same and methods
US6600222B1 (en) 2002-07-17 2003-07-29 Intel Corporation Stacked microelectronic packages
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6790748B2 (en) 2002-12-19 2004-09-14 Intel Corporation Thinning techniques for wafer-to-wafer vertical stacks
US6908565B2 (en) 2002-12-24 2005-06-21 Intel Corporation Etch thinning techniques for wafer-to-wafer vertical stacks
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6946384B2 (en) 2003-06-06 2005-09-20 Intel Corporation Stacked device underfill and a method of fabrication
JP3646720B2 (ja) * 2003-06-19 2005-05-11 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US7320928B2 (en) 2003-06-20 2008-01-22 Intel Corporation Method of forming a stacked device filler
KR100537892B1 (ko) 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
KR100621992B1 (ko) 2003-11-19 2006-09-13 삼성전자주식회사 이종 소자들의 웨이퍼 레벨 적층 구조와 방법 및 이를이용한 시스템-인-패키지
JP4587676B2 (ja) * 2004-01-29 2010-11-24 ルネサスエレクトロニクス株式会社 チップ積層構成の3次元半導体装置
JP4298559B2 (ja) * 2004-03-29 2009-07-22 新光電気工業株式会社 電子部品実装構造及びその製造方法
KR100570514B1 (ko) 2004-06-18 2006-04-13 삼성전자주식회사 웨이퍼 레벨 칩 스택 패키지 제조 방법
KR100618837B1 (ko) 2004-06-22 2006-09-01 삼성전자주식회사 웨이퍼 레벨 패키지를 위한 얇은 웨이퍼들의 스택을형성하는 방법
US7307005B2 (en) 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
US7087538B2 (en) 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
JP4559163B2 (ja) * 2004-08-31 2010-10-06 ルネサスエレクトロニクス株式会社 半導体装置用パッケージ基板およびその製造方法と半導体装置
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7557597B2 (en) 2005-06-03 2009-07-07 International Business Machines Corporation Stacked chip security
US7402515B2 (en) 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7485968B2 (en) * 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7432592B2 (en) 2005-10-13 2008-10-07 Intel Corporation Integrated micro-channels for 3D through silicon architectures
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US7410884B2 (en) 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7344917B2 (en) * 2005-11-30 2008-03-18 Freescale Semiconductor, Inc. Method for packaging a semiconductor device
US7402442B2 (en) 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US7279795B2 (en) 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
US7993972B2 (en) * 2008-03-04 2011-08-09 Stats Chippac, Ltd. Wafer level die integration and method therefor
EP2109888A2 (en) * 2007-01-17 2009-10-21 Nxp B.V. A system-in-package with through substrate via holes
FR2912378B1 (fr) 2007-02-14 2009-03-20 Aircelle Sa Nacelle de moteur a reaction pour un avion
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
US7553752B2 (en) * 2007-06-20 2009-06-30 Stats Chippac, Ltd. Method of making a wafer level integration package
KR101213175B1 (ko) 2007-08-20 2012-12-18 삼성전자주식회사 로직 칩에 층층이 쌓인 메모리장치들을 구비하는반도체패키지
US7880293B2 (en) 2008-03-25 2011-02-01 Stats Chippac, Ltd. Wafer integrated with permanent carrier and method therefor
GB0817831D0 (en) * 2008-09-30 2008-11-05 Cambridge Silicon Radio Ltd Improved packaging technology
US7956442B2 (en) * 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
US8097953B2 (en) * 2008-10-28 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit stacking-joint interface structure
US7838337B2 (en) * 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US8067308B2 (en) * 2009-06-08 2011-11-29 Stats Chippac, Ltd. Semiconductor device and method of forming an interconnect structure with TSV using encapsulant for structural support
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7741148B1 (en) * 2008-12-10 2010-06-22 Stats Chippac, Ltd. Semiconductor device and method of forming an interconnect structure for 3-D devices using encapsulant for structural support

Also Published As

Publication number Publication date
CN102299143A (zh) 2011-12-28
US20130309813A1 (en) 2013-11-21
US8426961B2 (en) 2013-04-23
US20110316147A1 (en) 2011-12-29
US20180301376A1 (en) 2018-10-18
TW201201351A (en) 2012-01-01
KR20120000483A (ko) 2012-01-02
US10497616B2 (en) 2019-12-03
US20200035554A1 (en) 2020-01-30
KR101299875B1 (ko) 2013-08-23
US10847414B2 (en) 2020-11-24
CN102299143B (zh) 2014-09-10
US10049928B2 (en) 2018-08-14

Similar Documents

Publication Publication Date Title
TWI467734B (zh) 半導體元件
TWI685936B (zh) 半導體裝置及其形成方法
US10923431B2 (en) Method for forming a 3D IC architecture including forming a first die on a first side of a first interconnect structure and a second die in an opening formed in a second side
USRE49987E1 (en) Multiple plated via arrays of different wire heights on a same substrate
US9852969B2 (en) Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
TWI440158B (zh) 半導體裝置
TWI780293B (zh) 半導體裝置及其製造方法
TW202004926A (zh) 半導體結構及積體電路封裝的形成方法
TW201926489A (zh) 封裝方法以及其元件
KR102296721B1 (ko) 라이너 층 없이 기판 내에 배치되는 열 비아
TWI729411B (zh) 積體電路封裝及其形成方法
KR20160130820A (ko) 기판의 웰에 근접하여 기판 내에 배치되는 열 비아
US10340254B2 (en) Method of producing an interposer-chip-arrangement for dense packaging of chips