CN101663569B - 热电偶 - Google Patents

热电偶 Download PDF

Info

Publication number
CN101663569B
CN101663569B CN200880012927XA CN200880012927A CN101663569B CN 101663569 B CN101663569 B CN 101663569B CN 200880012927X A CN200880012927X A CN 200880012927XA CN 200880012927 A CN200880012927 A CN 200880012927A CN 101663569 B CN101663569 B CN 101663569B
Authority
CN
China
Prior art keywords
over cap
tinsel
support column
pair
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200880012927XA
Other languages
English (en)
Other versions
CN101663569A (zh
Inventor
L·雅各布斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN101663569A publication Critical patent/CN101663569A/zh
Application granted granted Critical
Publication of CN101663569B publication Critical patent/CN101663569B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/08Protective devices, e.g. casings
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/04Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples the object to be measured not forming one of the thermoelectric materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Chemical Vapour Deposition (AREA)
  • Devices For Use In Laboratory Experiments (AREA)
  • Control Of Combustion (AREA)

Abstract

一个具有被配置成容纳一对异种金属丝的支承管的热电偶。所述热电偶的所述一对金属丝连接在邻近所述支承管的一端的接头处。所述热电偶还包括一个附着在所述支承管的相反端的保护盖,其中保护盖容纳所述一对金属丝的自由端。所述保护盖允许所述一对金属丝通过其中自由平移以适应相对所述支承管热膨胀和热收缩的所述一对金属丝的热膨胀和热收缩的差异。

Description

热电偶
相关申请
根据美国专利法35§119(e),本专利文件要求2007年5月24日提交的、美国临时专利申请序列号60/940,012的权益,其被并入本文以供参考。
技术领域
本发明涉及温度传感器,并且更具体地涉及被配置成提高在半导体加工设备中的温度控制精度的温度传感器。
背景技术
高温半导体加工室用于在一个或多个基体表面沉积各种材料层。一个或多个基体或工件,例如硅片,被放置于加工室内的工件支架上。所述基体和工件支架被一起加热到预期的温度。在一个典型的加工步骤中,反应气体从每个受热的基体之上经过,借此化学气相沉积(CVD)反应在一个(或多个)基体表面上沉积一薄层所述反应气体中的反应物质。通过后续处理,取决于基体的尺寸和电路的复杂性,这些层被制造成在集成电路和数万甚至数百万的集成构件中。
各种加工参数必须被精确控制以保证所产生的沉积层的高质量。这样的一个严格参数是每个加工步骤中基体的温度。例如,在化学气相沉积过程中,沉积气体在特定的温度下发生反应,从而在所述基体上沉积薄的沉积层。如果跨过基体表面的温度变化很大,则沉积层可能变得不平坦,这导致已完成的基体的表面上有些不可用的区域。因此,在反应气体被导入加工室以前保持基体温度在预定温度上稳定且一致是很重要的。
类似的,其他热处理过程中基体上温度不稳定或不一致会影响基体表面上的最终结构的一致性。温度可被严格控制的其他加工过程包括但不限于氧化、渗氮、掺杂物扩散、喷涂沉积、光刻蚀、干刻蚀、等离子体处理和高温退火。
在靠近和即将接触到将被加工的基体的各个位置测量温度的方法和系统已经众所周之。典型地,热电偶被布置在靠近将被加工的基体的各个位置,这些热电偶被有效地连接到一个控制器以帮助提供基体整个表面之上的更一致的温度。例如,授予Van Bilsen的美国6,121,061号专利大体教授了用多个温度传感器在基体周围不同点测量温度,包括一个热电偶放置在靠近基体前沿,另一个在靠近基体后沿,一个在一侧,另一个在所述基体下方接近所述基体中心。
然而,已经发现在所述高温加工室中用于测量温度的热电偶由于在热电偶中使用的金属丝的晶粒滑移而失效。热电偶典型地包括一个细长陶瓷构件,其中有纵向的孔。一对金属丝在所述金属孔的长度上延伸,其中所述金属丝的一端熔凝在一起并且靠近所述基体以便温度测量,并且所述金属丝的相反端被连接到控制器。典型的,所述金属丝温度测量端的相反端退出所述陶瓷构件的所述孔并弯曲或者卷曲,然后以基本固定的方法被固定围绕所述陶瓷构件的套上。当所述沉积反应加工步骤发生时,加工反应器被加热,从而加热陶瓷构件和热电偶的金属丝。加热时,所述金属丝以和所述陶瓷不同的速率在纵向伸展,因此导致在所述金属丝中产生纵向的应力。因为所述金属丝的两端都被基本固定,所以反复的加热和冷却循环之后,金属丝中的纵向应力导致金属丝内的晶粒滑移从而导致热电偶的失效。因此,允许所述陶瓷构件里面的所述金属丝相对于所述陶瓷构件的纵向膨胀在纵向伸展更多的热电偶设计是需要的。
发明内容
适应支承构件和其中的所述金属丝在热膨胀系数的差别的温度敏感热电偶的需求是存在的。在本发明的一个方面中,提供了一种热电偶。所述热电偶包括具有一对通过其中延伸的孔的支承管。所述热电偶也包括一对由异种金属构成的金属丝。每根金属丝布置在支承管不同孔中。所述热电偶还包括保护盖,该保护盖具有一对通过其中形成的缝隙,每个所述缝隙容纳一根所述金属丝。所述安全盖附着在所述支承管上以便所述缝隙和所述孔对准,所述缝隙被设计尺寸以便允许所述金属丝在金属丝的热膨胀或收缩时自由滑动通过所述缝隙。
在本发明的另一个方面中,提供了被连接于热电偶的支承管的保护盖。所述热电偶包括支承管,所述支承管具有一对沿着支承管长度上伸展的异种金属丝。所述保护盖包括一个具有第一端和第二端的主体。第一孔从所述第一端延伸到所述主体内,第二孔从所述第二端延伸到所述主体内。所述第一孔被配置用来容纳所述支承管。网分开了所述第一孔和所述第二孔。第一缝隙和第二缝隙穿过所述网而形成,每个缝隙被配置成容纳所述金属丝中的一根。所述第一和第二缝隙相隔一定距离,以保持所述金属丝之间的相隔关系。所述缝隙被设计尺寸以便允许所述金属丝由于所述金属丝的热膨胀或收缩而导致金属丝热膨胀或收缩时在其中自由平移。
从用图解方式来展示和描述的本发明的实施例来看,对本技术领域的技术人员来说,本发明的优势变得更加明显。正如所认识的那样,本发明能具有其他的不同的实施例,它的细节能在各个方面被修改。因此,所述图和描述本质上被认为是解释的而不是限制性的。
附图说明
图1是示例性化学气相沉积反应器的横截面描述;
图2是温度传感器和温度控制系统的可能位置的示意图;
图3是一个热电偶的实施例;
图4是图3中热电偶的放大分解图;
图5是形成热电偶的金属丝接头的放大图;
图6是支承管实施例的侧视图;
图7是图6支承管的端面图;
图8是热电偶套的实施例的侧视部分剖面图;
图9是图3中热电偶的放大的剖视图;
图10是热电偶保护盖的实施例的放大的剖视图;
图10A是保护盖实施例的侧视图;
图10B是图10A保护盖的另一个侧视图;
图10C是图10A保护盖的剖视图;
图10D是图10A保护盖的端面图;
图10E是图10D中展示的保护盖的相反端的端视图;
图11是图3中热电偶的一部分的放大剖视图;
图12是图3中热电偶的一部分的放大剖视图;
图13是图3中热电偶的一部分的放大剖视图;
图14是图3中热电偶的一部分的放大剖视图。
具体实施方式
对于图1,示出了化学气相沉积(“CVD”)反应器10的示例性实施例。虽然图解的实施例是单独基体、水平流、冷墙反应器,不过本技术领域的技术人员应该理解在此描述的热电偶技术可以用于其他类型的半导体加工反应器以及要求精确温度传感器的其他应用。反应器10包括限定反应空间14的反应室12、布置在反应室12相反侧上的加热元件16以及基体支承机构18。反应室12是一个细长构件,其具有允许反应气体流入反应空间14的入口20和反应气体及加工产物通过其离开反应空间14的出口22。在一个实施例中,反应室12是由透明石英构成的。本技术领域的技术人员应该理解反应室12可以由能与其内的沉积反应基本上不发生反应的任何其他材料构成。
加热元件16形成一个上区和一个下区,如图1所示。加热元件16在同一个区内和相邻的加热元件16以间隔开的方式定位。在一个实施例中,上区中的加热元件16被定向成相对于下区中的加热元件16基本垂直。加热元件16向反应室12提供辐射能量,且可以忽略所述反应室12的壁的吸收。加热元件16被配置成提供波长可以被将要加工的基体和基体支承机构18吸收的辐射热。在一个实施例中,多个点形灯26向晶片支承机构18的下部提供集中的热量,以便抵消由于冷的支承结构向上延伸至反应室12的底部而导致的热耗效应。
基体支承机构18包括一个基体支架28和一个支承构件30,所述基体24可以被置于该支架28上,如图1所示。所述支承构件30被连接于轴32,该轴32向下延伸穿过悬挂在反应室12的下墙上的管34。一个发动机(没有显示)被配置用来使所述轴32旋转,因而使所述基体支架28和基体24在沉积加工中以类似的方法旋转。
多个温度传感器被放置在邻近所述基体24和所述基体支架28以便测量靠近基体24的多个位置处的温度,如图1-2所示。在所述实施例中,所述温度传感器包括:放置在邻近所述基体支架28的下表面的中心温度传感器36、一个前沿温度传感器38、一个后沿温度传感器40以及至少一个侧翼温度传感器42。所述前沿和后沿温度传感器38、40被放置在相对于反应空间14内的反应气体的流动方向A、邻近所述基体24的前沿和后沿。所述温度传感器被配置用来测量所述温度传感器尖端周围局部区域的温度。如图2所示,用于化学气相沉积反应器10的温度控制系统45包括被放置在邻近将要被加工的基体24的多个温度传感器36、38、40、42,其中这些温度传感器被有效地连接到温度控制器44以便向所述温度控制器44提供具体位置处的温度数据。所述温度控制器44被有效地连接于邻近基体24放置的至少一个加热构件16。所述温度传感器44被配置用来响应所述温度传感器提供的数据选择性地调整从所述加热元件16、26放出的能量,以便维持在正被加工的整个基体24之上的基本一致的温度分布。本技术领域的技术人员应该理解所述温度控制系统45可以包括放置在不同地点用来向所述温度控制器44提供数据的任意数量的温度传感器。
在一个实施例中,温度传感器36、38、40、42中的至少一个是热电偶46,如图3-14图解。本技术领域的技术人员应该理解其他的温度传感器36、38、40、42可以被形成为光学高温计、热电偶或者任何其他能够承受反应室内条件的温度传感器或者其任意组合。在一个实施例中,如图3-4所示,热电偶46包括一个套48、一个支承管50、一个卡圈51、第一金属丝52、第二金属丝54、一个弹簧56、一个定位器58和一个插头60。在一个实施例中,支承管50是具有纵向轴线B的基本圆柱形细长构件,如图6-7图解。在另一个实施例中,支承管50的横截面形状是正方形的。在另一个实施例中,支承管50的横截面形状是椭圆形的。本技术领域的技术人员应该理解支承管50的横截面形状可以被形成为任何形状。支承管50可以由任何类型陶瓷或足够承受循环温度变化和热电偶所暴露于的温度范围的任意材料构成。本技术领域的技术人员应该理解虽然所述图解热电偶46基本是线形的,但是热电偶46可以由足够允许热电偶46的测量尖端68能够被放置在期望的位置处的任意形状构成。
热电偶46的支承管50包括第一远端62和相反的第二远端64,如图6所示。在一个实施例中,支承管50包括一对孔66,如图7的端视图所示,所述孔66从所述第一远端62纵向延伸至所述第二远端64。在另一个实施例中,支承管50包括多于两个的孔66,所述孔66在所述支承管50的所述第一远端62和第二远端64之间距离的一部分上延伸。本技术领域的技术人员应该理解支承管50可以包括在其中纵向或者和陶瓷构件的纵向轴线成任何角度延伸的任意数量的孔或洞。所述孔66中的一个适合于容纳所述第一金属丝52,所述孔66中的另一个适合于容纳所述第二金属丝54。所述孔66以间隔开的方式被放置以便使第一金属丝52和第二金属丝54分离开从而防止短路。所述孔66被设计尺寸以便在其中容纳第一金属丝52和第二金属丝54,并且在所述金属丝52、54的外表面和所述孔66的内表面之间提供小的间隙。在一个实施例中,每一个孔66的直径大约为0.016英寸。在另一个实施例中,每一个孔66的直径大约为0.014英寸。本技术领域的技术人员应该理解所述孔66可以具有足够容纳第一金属丝52和第二金属丝54且同时在所述金属丝和相应孔的表面之间提供间隙以便允许所述金属丝52、54由于热膨胀而径向和轴向伸展的任意直径。
支承管50至少部分被放置在保护套48中,如图4和图8所示。在一个实施例中,套48是由透明的石英材料构成的。所述透明石英材料允许从加热元件16和点形灯26发出的基本所有辐射能量透过且套48温度没有明显的升高。在一个实施例中,所述套48和放置在其中的支承管50具有大体相同的横截面形状,但是所述套48稍微大点以便在所述套48的内表面和所述支承管50的外表面之间提供小的间隙。所述套48包括在其一端的测量尖端68和在其相反端的开口70。在另一个实施例中,套48可以被涂覆由氮化硅(SiN)或者有别的表面处理应用于其上以便延长所述套在所述反应室12的化学气相沉积加工过程中的寿命。在又一个实施例中,保护盖(没有显示),例如碳化硅(SiC)保护盖,被应用于所述套的测量尖端68处以便在周围环境和所述金属丝52、54之间提供更好的热传导。
如图3和图8所示,测量尖端68位于套48的远端。当热电偶46被用作中心温度传感器36(图1)时,测量尖端68位于非常邻近所述基体支架28的下表面。在这个位置,热电偶46的测量尖端68被配置用来测量非常邻近它的基体支架28的温度。在套48的一端、测量尖端68内,第一金属丝52和第二金属丝54延伸超过了支承管50的第一远端62,如图5所示。所述第一金属丝52和第二金属丝54的裸露端部被熔凝(fused)在一起构成接头珠72,因此在所述第一金属丝52和第二金属丝54之间提供电连接。在一个实施例中,所述第一金属丝52和第二金属丝54被熔化(melted)在一起构成接头72。在另一个实施例中,所述第一金属丝52和第二金属丝54通过软钎焊被熔凝在一起。本技术领域的技术人员应该理解所述第一金属丝52和第二金属丝54能够以任何可以在所述第一金属丝52和第二金属丝54的端部之间提供电连接的方法被熔凝在一起。和所述接头72相反的金属丝52、54的自由端从支承管50的第二远端64延伸出来。金属丝52、54由异种金属构成从而在两者之间形成热电偶。在一个实施例中,第一金属丝52由铂构成,而第二金属丝54由含铑13%的铂合金构成。本技术领域的技术人员应该理解所述金属丝52、54可以由任何足够在其间形成热电偶的异种金属构成。在一个实施例中,金属丝52、54的直径大约是0.010英寸。在另一个实施例中,金属丝52、54的直径大约是0.014英寸。本技术领域的技术人员应该理解所述金属丝可以具有足够承受化学气相沉积加工中的循环温度变化和所述热电偶46所暴露于的温度范围的任意直径。本技术领域的技术人员也应该理解所述第一金属丝52和第二金属丝54的直径可以是不同的直径。
第一金属丝52和第二金属丝54从接头72延伸通过在支承管50中形成的相隔开孔66并在支承管50的第二远端64处离开孔66,如图10所示。支承管50的第二远端64向外延伸超过套48的开口70。如图4所示,卡圈51被有效地连接在离支承管50的第二远端64隔开一定距离处的支承管50的外表面上。在一个实施例中,卡圈51可以独立于支承管50而形成,并且之后被固定地附着于支承管50。在另一个实施例中,支承管50和卡圈51可以形成为一个单独构件。卡圈51提供弹簧56的一端可以保持接触的接触面。
如图4和图9所示,定位器58被放置在套48的开口70内。定位器58包括环74、主体76和纵向延伸穿过所述环74和主体76的缝隙78。定位器58被放置在邻近套48的端部并被配置用来容纳在所述缝隙78内的支承管50。在一个实施例中,定位器58通过过盈配合或摩擦配合被固定在套48的开口70内。本技术领域的技术人员应该理解所述定位器58可以通过足够维持所述定位器58和所述套48之间基本固定关系的任何其他方式被固定在所述套48上。定位器58通过减小的直径从所述套48提供出口,从而使所述支承管50和所述套48的内表面之间保持相隔开的关系。所述支承管50被放置在所述定位器58的所述缝隙78内以便所述支承管50在所述缝隙78内沿与所述支承管50的纵向轴线B基本平行的方向自由平移。
对于图4,弹簧56被定位于绕卡圈51和定位器58之间的支承管50的外表面。所述弹簧56施加弹力或偏压力在卡圈51上以便朝向套48的测量尖端68偏压支承管50。在一个实施例中,弹簧56被配置用来使由所述第一金属丝52和第二金属丝54构成的接头72和套48的测量尖端68保持接触。如果接头72要从测量尖端68移开,则被测温度的精确度将随接头72离被测位置的距离增大而下降。因此,弹簧56能被配置用来偏压接头72使其接触测量尖端68,从而保证接头72接触或位于即将邻近测量尖端68的内表面。
如图4和图9-10所示,支承管50的第二远端64延伸通过定位器58而超过套48。在所述图解实施例中,保护盖100以基本固定的方法被有效地附着在支承管50的第二远端64以便防止所述保护盖100相对支承管50旋转。在一个实施例中,保护盖100由Delrin
Figure G200880012927XD00081
塑料构成。在另一个实施例中,保护盖100由聚醚醚酮(PEEK)构成。在又一个实施例中,保护盖100由聚醚酰亚胺(PEI)构成。对于高温应用,PEEK和PEI提供了更好的耐久性。本技术领域的技术人员应该理解所述保护盖100可以由足够经受大的温度范围且抵抗相对套48的扭转运动的任意材料构成。
在一个实施例中,如图10A-10E图解,保护盖100是具有主体101、第一端102和第二端104的细长单件圆柱形构件。在另一个实施例中,保护盖100的主体101具有正方形横截面形状。本技术领域的技术人员应该理解保护盖100的主体101可以具有任何横截面形状。在所述第一端102,第一孔106被形成到所述主体101内。所述第一孔106从所述第一端102沿着主体101纵向长度的至少一部分延伸。在一个实施例中,所述第一孔106是环形的。所述第一孔106被配置用来容纳所述支承管50的第二远端。因此,所述第一孔106的形状和所述支承管50的外表面基本上有相同的尺寸和形状。第二孔108被形成到所述主体101的所述第二端104内。在一个实施例中,所述第二孔108从所述第二端104沿着所述保护盖100纵向长度的至少一部分延伸。所述第二孔108的横截面形状可以是圆形、椭圆形、正方形或者当金属丝离开支承管50时足以包住第一金属丝52和第二金属丝54的任意形状。在一个实施例中,所述第二孔108的横截面形状和所述第一孔106相同。在另一个实施例中,所述第二孔108的横截面形状和所述第一孔106不相同。
在一个实施例中,所述第一孔106和所述第二孔108分别从所述保护盖100的第一端102和第二端104延伸基本上相同的距离,如图10C所示。本技术领域的技术人员应该理解所述第一孔106和第二孔108的长度可以是相同的,所述第一孔106可以比所述第二孔108更长,或者所述第二孔108可以比所述第一孔106更长。在一个实施例中,所述第一孔106和第二孔108的尺寸和形状基本上是相同的以便任一孔都可以容纳支承管50的第二远端64,从而确保保护盖100正确装配在所述热电偶46内。在另一个实施例中,所述第一孔106和第二孔108的尺寸和形状是不同的以便所述第一孔106是唯一能容纳支承管50的第二远端64的孔。
如图10C所示,所述第一孔106和第二孔108被网110隔开。所述网110构成所述保护盖100中的两个孔106、108的底部。在所述第一孔106的底部的所述网110的表面可以和所述支承管50的所述第二远端64的端部表面有基本相同的形状以便所述第二远端64的端部表面被放置成和所述网110的相应表面呈邻接关系。第一缝隙112和第二缝隙114被形成为穿过所述网110。所述第一缝隙112被配置用来容纳从所述支承管50的所述第二远端64延伸出的所述第一金属丝52,所述第二缝隙114被配置用来容纳类似地从所述支承管50的所述第二远端64延伸出的所述第二金属丝54。在一个实施例中,所述第一缝隙112和第二缝隙114的直径具有和所述支承管50的所述孔66的直径基本相同的直径。在另一个实施例中,所述第一缝隙112和第二缝隙114的直径比所述支承管50的相应孔66的直径稍大。所述缝隙112、114的内表面和被容纳在其中金属丝52、54之间的间隙允许金属丝52、54由于热膨胀或收缩引起的在所述缝隙112、114中的快速膨胀或收缩以及纵向平移。在一个实施例中,所述第一缝隙112和第二缝隙114的直径大于大约0.010英寸。在另一个实施例中,所述第一缝隙112和第二缝隙114的直径大于大约0.014英寸。在又一个实施例中,所述第一缝隙112和第二缝隙114的直径大于大约0.016英寸。本技术领域的技术人员应该理解所述缝隙112、114的直径应该比被容纳其中的金属丝52、54的直径稍大以便当金属丝52,54经历热膨胀或收缩时允许金属丝52、54自由快速地膨胀或收缩或者在其中自由平移。在一个实施例中,所述第一缝隙112的直径和所述第二缝隙114的直径基本相同。在另一个实施例中,所述第一缝隙112的直径和所述第二缝隙114的直径不相同。
在装配过程中,所述第一缝隙112和第二缝隙114和所述支承管50的孔66对准以便所述第一金属丝52和第二金属丝54以基本线形的方式从所述支承管50的所述第二远端64延伸出并穿过所述保护盖100的所述网110,如图10所示。所述金属丝52、54从所述支承管50的所述第二远端64延伸出来的部分被动穿过所述网110以便所述支承管50的所述第二远端64接触所述网110的相应表面,从而使所述保护盖100中的所述支承管50绝对定位。在装配过程中,所述支承管50的所述第二远端64和所述保护盖100的所述网110之间应该没有间隙。通过使在所述网110中的所述第一缝隙112和第二缝隙114和所述支承管50的所述孔66对准,可以显著地减少或消除可能由保护盖100相对于所述支承管50的未对准而导致的任意潜在剪切应力。另外,被恰当对准的保护盖100也可以保证所述金属丝52、54保持隔开,从而防止如果所述金属丝52、54彼此接触而引起的可能短路。因为所述金属丝52、54延伸穿过所述支承管50的所述孔66和所述保护盖100的所述网110中的所述第一缝隙112和第二缝隙114,所述金属丝保持分离和裸露,没有防护罩。隔开的孔和缝隙安全地维持所述金属丝52、54之间的隔开、分离关系。
在一个实施例中,从所述支承管50延伸穿过在所述保护盖100中的所述缝隙112、114的所述第一和第二金属丝52、54覆盖有Teflon管116以便进一步防止所述金属丝互相接触而导致短路,如图10所示。在所述保护盖100中形成的第二孔108被设计尺寸以便容纳第一金属丝52和第二金属丝54且其中每根金属丝都具有封罩每根金属丝的Teflon管116。所述金属丝52、54中的每一根均被插入管116内以便每根管116的末端部位于所述保护盖100的第二孔108中。在一个实施例中,在热电偶46被安装进入工具之前覆盖在所述金属丝52、54的两根管116的末端和所述网110是邻接关系。在另一个实施例中,两根管116的末端都与网110稍隔开以便保证所述第一金属丝52和第二金属丝54在装配时不是拉应力状态。所述管116覆盖在所述保护盖100和插头60之间的每根金属丝52、54,其中所述金属丝52、54附着于所述插头60。在图10A和图10E图解的所述实施例中,所述第二孔108是椭圆形的以便所述第二孔108的开口足够容纳围绕所述第一金属丝52和第二金属丝54且防止金属丝在离开网110时第一金属丝52和第二金属丝54扭曲在一起的一对管116。
图9-14图解了装配热电偶46的示例性装配过程。图9-10显示被插入到保护盖100的第一孔106内的支承管50,其中通过保护盖100的网110的第一缝隙112和第二缝隙114对准于支承管50的孔66以便金属丝52、54保持基本线形对准和隔开关系。从在保护盖100中的所述第一缝隙112和第二缝隙114延伸出的金属丝52、54被Teflon管116覆盖。图11显示被覆盖的金属丝52、54形成从保护盖100的第二孔108延伸出的圈118。在一个实施例中,所述圈118的曲率半径大约是12mm。在一个实施例中,所述圈118的曲率半径在大约2mm和大约25mm之间。在另一个实施例中,所述圈118的曲率半径在大约2mm和大约12mm之间。在又一个实施例中,所述圈118的曲率半径大约是5mm。本技术领域的技术人员应该理解由第一金属丝52和第二金属丝54形成的圈118可以有足够允许所述第一金属丝52和第二金属丝54在支承管50的相应孔66中纵向自由平移而没有拉应力或压应力被引入金属丝52、54中的任意曲率半径。
在一个实施例中,第一收缩套管119被放置于绕所述支承管50,如图9-11所示。当所述支承管50被插入所述保护盖100的所述第一孔106内并且被恰当对准时,第一收缩套管119被置于邻近所述保护盖100的第一端部102。图12图解了一个实施例,其中第二收缩套管120被置于绕所述保护盖100的第一端部102和第一收缩套管119。第一收缩套管119对绕所述支承管50在邻近所述保护盖100的所述第一端部102的区域内提供了增加的直径以便在所述第二收缩套管120和所述第一收缩套管119和所述保护盖100之间提供更加牢固的连接。所述第二收缩套管120适合于维持在所述支承管50中的所述孔66和在所述保护盖100的所述网110中的所述第一缝隙112和第二缝隙114之间的对准。所述第二收缩套管120也被配置用来防止所述保护盖100相对于所述支承管50的旋转。在另一个实施例中,所述保护盖100包括分度止动器(未示出),所述支承管50包括适于被容纳在所述分度止动器中的分度凸出(未示出)以便使所述保护盖100相对于所述支承管50绝对定位并且防止所述保护盖100相对于所述支承管50旋转。在又一个实施例中,所述支承管50的所述第二远端64变平并且在所述保护盖中的所述第一孔106具有相应的横截面面积,从而防止所述保护盖100相对所述支承管50旋转。在所述第二收缩套管120被连接后,保护套管122被置于绕所述保护盖100和所述支承管50,如图13所示。图14图解带子124,其绕所述保护套管122被有效地连接以便使所述圈118的一部分被固定于所述保护套管122。所述带子124固定所述圈118的一部分以便维持所述圈118的预定曲率半径。装配的热电偶46然后被结合到需要温度传感器的机器或工具内。
在操作中,套48的测量尖端68被放置在希望温度测量的位置。当关于热电偶46的温度升高或降低时,支承管50和金属丝52、54膨胀或收缩,特别是沿着所述支承管50的纵向轴线B膨胀或收缩。在一个实施例中,金属丝52、54的热膨胀系数和支承管50的热膨胀系数基本不相同,其中金属丝52、54沿纵向方向的热膨胀的量比支承管50在相同方向上的热膨胀要大。在另一个实施例中,金属丝52、54的热膨胀系数和支承管50的热膨胀系数相似。在又一个实施例中,金属丝52、54的热膨胀系数和支承管50的热膨胀系数基本相同。当支承管50在纵向方向上膨胀时,附着于支承管50的第二远端64的保护盖100以相同的方式平移。保护盖100不会阻止支承管50沿着纵向轴线B的自由膨胀或收缩,从而消除可能由支承管50的第二远端64相对于套48的固定而导致的任意纵向应力。保护盖100也维持离开支承管50的金属丝52、54的对准以便维持金属丝52、54的隔开关系,从而防止短路。
当金属丝52、54在纵向方向上比支承管50膨胀或收缩更大量级时,金属丝52、54通过保护盖100的相应缝隙112、114自由滑动或平移。缝隙112、114允许金属丝52、54相对支承管50自由膨胀或收缩,从而减小或消除当金属丝的自由端被折叠或相对于支承管50基本被固定时可能产生的施加于金属丝52、54上的拉应力或压应力。金属丝52、54通过缝隙112、114自由移动而不存在由所述缝隙自身导致的明显阻力,例如如果所述缝隙112、114绕金属丝52、54形成紧配合或过盈配合而导致的阻力。缝隙112、114应该被设计尺寸成在缝隙的内表面和相应金属丝的外表面之间提供小间隙。当金属丝52、54通过相应缝隙112、114膨胀和移动时,Teflon
Figure G200880012927XD00131
管116维持与金属丝52、54的基本固定关系以便当金属丝52、54膨胀时金属丝52、54的一部分在所述保护盖100中暴露。本技术领域的技术人员应该理解穿过保护盖100的网110形成的缝隙112、114之间的距离应该被离开到足够的距离以便当金属丝52、54由于金属丝52、54的温度升高而纵向热膨胀从而暴露一部分金属丝52、54时,金属丝52,54的暴露部分仍然保持充分地隔开以便它们不会相互接触而导致短路。另外,当金属丝52、54由于热膨胀或收缩而膨胀或收缩时圈118以相应的方式膨胀或收缩。因此,所述圈118的曲率半径应该足够允许金属丝52、54自由膨胀或收缩而不向金属丝52、54引入任何附加的轴向载荷。允许金属丝52、54响应金属丝的温度变化而自由膨胀或收缩会通过减少或消除易于导致金属丝52、54晶粒滑移甚至过早失效的轴向载荷的方法来增加热电偶的寿命。
如以上所讨论的,支承管50的热膨胀系数可以不同于金属丝52、54的热膨胀系数。另外,支承管50通过支承管的外表面和端表面来散失热量到周围的环境中,而由金属丝52、54散失的能量被传导到支承管50。应该注意支承管50将由于两者间的温度差而以和金属丝52、54不同的速率膨胀。在反应室12内的温度动态变化过程中,支承管50通常和容纳在其中的金属丝52、54处于不同温度,这是因为所述支承管50和金属丝52、54之间导热系数和比热差异以及能量散失率的差异。因此,改进的热电偶42允许金属丝52、54独立于支承管50而热膨胀或收缩以便附加的压应力或拉应力不会随着它们相对于支承管50的膨胀或收缩而被引入到金属丝52、54中。
虽然本发明的优选实施例已经被描述时,不过应该理解本发明没有被如此约束而且可以在不背离本发明的情况下进行修改。本发明的范围由附加权利要求限定,并且其中包括语言上或等效地落入权利要求含义范围内的所有设备、工艺和方法等。

Claims (13)

1.热电偶,其包括:
具有第一远端、第二远端和纵向轴线的支承管,所述支承管包括在所述第一远端和所述第二远端之间延伸的一对孔;
被置于绕所述支承管的至少一部分的套;
放置在所述孔中的一个孔内的第一金属丝;
放置在所述孔中的另一个孔内的第二金属丝,所述第二金属丝由和所述第一金属丝不同的金属构成;
在所述第一金属丝的一端和所述第二金属丝的一端之间形成的接头,其中所述接头位于邻近所述支承管的所述第一远端;
具有第一端和第二端的保护盖,该保护盖有效地附着于所述支承管的所述第二远端,所述保护盖具有从所述保护盖的所述第一端延伸出的用来容纳所述支承管的所述第二远端的第一孔和所述第一和第二金属丝穿过其延伸的第二孔,所述第二孔从所述保护盖的所述第二端延伸出,所述保护盖还包括在所述第一和第二孔之间形成的网,所述网包括用来容纳所述第一金属丝的第一缝隙和用来容纳所述第二金属丝的第二缝隙,所述缝隙的尺寸被设计成允许所述第一和第二金属丝响应所述第一和第二金属丝的热膨胀或热收缩而通过其中自由滑动,其中所述第一和第二金属丝从所述保护盖的所述第二端延伸出;以及
由从所述保护盖延伸出的所述第一和第二金属丝的一部分构成的圈,其中绕所述圈的一部分应用带子以便维持所述圈的预定曲率半径。
2.根据权利要求1所述的热电偶,其中所述圈具有5mm的曲率半径。
3.根据权利要求1所述的热电偶,其中所述圈具有12mm的曲率半径。
4.根据权利要求1所述的热电偶,其中所述圈具有在2mm至25mm之间的曲率半径。 
5.根据权利要求1所述的热电偶,其中所述圈具有在2mm至12mm之间的曲率半径。
6.根据权利要求1所述的热电偶,其中所述圈具有随所述第一和第二金属丝热膨胀而增加且随所述第一和第二金属丝热收缩而减小的曲率半径。
7.根据权利要求1所述的热电偶,其中所述保护盖相对于所述支承管基本固定。
8.根据权利要求1所述的热电偶,其中穿过所述网形成的所述第一和第二缝隙具有一个直径,所述直径比穿过其中的所述第一和第二金属丝的每一根的直径都大。
9.热电偶,包括:
具有从其中延伸穿过的一对孔的支承管;
由异种金属构成的一对金属丝,所述金属丝中的每一根分别被放置在所述支承管的所述孔中的一个不同孔中;
具有第一端和第二端的保护盖,所述保护盖包括位于从所述保护盖的所述第一端延伸出的第一孔与从所述保护盖的所述第二端延伸出的第二孔之间的网,所述保护盖还包括穿过所述网形成的一对缝隙,其中所述缝隙中的每一个容纳所述一对金属丝中的一根,所述保护盖被固定附着于所述支承管,并且所述缝隙的尺寸被设计成允许所述金属丝在所述金属丝热膨胀或热收缩过程中穿过所述一对缝隙自由滑动,同时将所述一对金属丝保持为相互隔开关系,其中所述一对金属丝从所述保护盖的所述第二端延伸出;以及
由从所述保护盖延伸出的所述一对金属丝构成的圈,其中绕所述圈的一部分应用带子以便维持所述圈的预定曲率半径。
10.根据权利要求9所述的热电偶,其中穿过所述保护盖形成的所述一对缝隙被隔开,从而将所述一对金属丝保持为隔开关系。 
11.根据权利要求9所述的热电偶,其中所述一对金属丝在它们离开在所述保护盖中形成的所述缝隙时被暴露并且当所述一对金属丝离开在所述保护盖中形成的所述一对缝隙时保护管包裹了所述一对在离开所述缝隙时被暴露的金属丝的一部分。
12.用于加工基体的化学气相沉积反应器的温度控制系统,所述温度控制系统包括:
位于邻近所述基体的多个温度传感器;
接收来自所述多个温度传感器中的每一个的温度数据的控制器;
位于邻近所述基体处以用于向所述基体提供辐射能量的至少一个加热元件;
所述控制器响应由所述多个温度传感器中的至少一个提供的温度数据来选择性地调节所述至少一个加热元件;
所述多个温度传感器中的至少一个是热电偶,所述热电偶包括:
由异种金属构成的一对金属丝;
具有穿过其中形成的两个孔的支承管,其中所述孔中的一个容纳所述金属丝中的一根而所述孔中的另一个容纳所述金属丝中的另一根;
具有第一端和第二端的保护盖,所述保护盖包括位于从所述保护盖的所述第一端延伸出的第一孔与从所述保护盖的所述第二端延伸出的第二孔之间的网,所述保护盖还包括穿过所述网形成的一对缝隙,所述保护盖附着于所述支承管的一端,所述保护盖容纳从所述支承管延伸出的所述金属丝,其中所述缝隙中的每一个容纳所述一对金属丝中的一根,其中所述保护盖将所述金属丝保持成隔开关系,并且所述保护盖允许所述金属丝相对所述支承管自由热膨胀或收缩,同时保持所述金属丝分离,其中所述一对金属丝从所述保护盖的所述第二端延伸出;以及
由从所述保护盖延伸出的所述一对金属丝构成的圈,其中绕所述圈的一部分应用带子以便维持所述圈的预定曲率半径。 
13.热电偶,包括:
具有穿过其中的一对孔的支承管,所述支承管具有热膨胀系数;
由异种金属构成的一对金属丝,所述金属丝以隔开关系被放置在所述支承管中,所述一对金属丝中的每一根具有不同于所述支承管的所述热膨胀系数的热膨胀系数,从而当所述支承管和所述金属丝被加热或冷却时,所述金属丝相对于所述支承管自由热膨胀或收缩;
具有第一端和第二端的保护盖,所述保护盖包括位于从所述保护盖的所述第一端延伸出的第一孔与从所述保护盖的所述第二端延伸出的第二孔之间的网,所述保护盖还包括穿过所述网形成的一对缝隙,其中所述缝隙中的每一个容纳所述一对金属丝中的一根,所述保护盖被固定附着于所述支承管,并且所述缝隙的尺寸被设计成允许所述金属丝在所述金属丝热膨胀或热收缩过程中穿过所述一对缝隙自由滑动,同时将所述一对金属丝保持为相互隔开关系,其中所述一对金属丝从所述保护盖的所述第二端延伸出;以及
由从所述保护盖延伸出的所述一对金属丝构成的圈,其中绕所述圈的一部分应用带子以便维持所述圈的预定曲率半径。 
CN200880012927XA 2007-05-24 2008-05-16 热电偶 Active CN101663569B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US94001207P 2007-05-24 2007-05-24
US60/940,012 2007-05-24
PCT/US2008/063919 WO2008147731A1 (en) 2007-05-24 2008-05-16 Thermocouple

Publications (2)

Publication Number Publication Date
CN101663569A CN101663569A (zh) 2010-03-03
CN101663569B true CN101663569B (zh) 2012-08-08

Family

ID=39637643

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880012927XA Active CN101663569B (zh) 2007-05-24 2008-05-16 热电偶

Country Status (8)

Country Link
US (1) US7874726B2 (zh)
EP (1) EP2156155B1 (zh)
JP (1) JP5255054B2 (zh)
CN (1) CN101663569B (zh)
AT (1) ATE498119T1 (zh)
DE (1) DE602008004911D1 (zh)
TW (1) TWI439680B (zh)
WO (1) WO2008147731A1 (zh)

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
JP2009013435A (ja) * 2007-06-29 2009-01-22 Fujifilm Corp 基板ホルダ及び真空成膜装置
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US20090110026A1 (en) * 2007-10-24 2009-04-30 Heraeus Electro-Nite Co. Expendable immersion device
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8360636B2 (en) * 2009-07-02 2013-01-29 Renesas Electronics America Inc. Temperature detection and reporting system and method in power driving and/or consuming system
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
TWI493163B (zh) * 2011-07-06 2015-07-21 Tanaka Precious Metal Ind 熱電偶的安裝構造
JP5980551B2 (ja) * 2011-07-13 2016-08-31 株式会社日立国際電気 温度検出部、基板処理装置、及び半導体装置の製造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6080451B2 (ja) 2012-09-25 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及び熱電対支持体
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935470B (zh) * 2015-12-31 2019-03-08 中微半导体设备(上海)有限公司 一种带有温度测量装置的等离子处理器
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105651618B (zh) * 2016-03-01 2018-09-18 内蒙古科技大学 一种将热电偶丝配置于试样的方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102612193B1 (ko) * 2016-06-03 2023-12-12 삼성전자주식회사 웨이퍼 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107976260A (zh) * 2017-11-08 2018-05-01 德淮半导体有限公司 热电偶结构及其形成方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018122164A1 (de) * 2018-09-11 2020-03-12 Behr-Hella Thermocontrol Gmbh Vorrichtung zum Messen der Temperatur in dem Innenraum eines Fahrzeugs
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2059480A (en) * 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) * 1939-01-14 1941-12-16 Western Electric Co Control apparatus
GB752277A (en) * 1953-10-28 1956-07-11 Canadian Ind 1954 Ltd Improved thermocouple unit
US4217463A (en) * 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4749416A (en) * 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
CN2067405U (zh) * 1990-05-04 1990-12-12 冶金工业部钢铁研究总院 高温抗氧化钨-铼热电偶

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) 1874-09-01 Improvement in processes of brightening tobacco
US3011006A (en) 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
FR1408266A (fr) 1964-06-30 1965-08-13 Realisations Electr Et Electro Prise de raccordement pour thermocouples
JPS5132766B2 (zh) 1972-07-25 1976-09-14
JPS5611329A (en) 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4527005A (en) 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4692556A (en) 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
JPS62134936A (ja) 1985-12-05 1987-06-18 アニコン・インコ−ポレ−テツド 腐食耐性をもつたウエ−フア−・ボ−ト及びその製造法
US4721533A (en) * 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
IT1227708B (it) 1988-07-29 1991-05-06 Pomini Farrel Spa Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.
US5158128A (en) 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
US5360269A (en) 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
AU622743B2 (en) * 1989-11-22 1992-04-16 Nippon Steel Corporation Thermocouple-type temperature sensor and method of measuring temperature of molten steel
JP2780866B2 (ja) 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JPH0523079A (ja) 1991-07-19 1993-02-02 Shimano Inc 釣り竿及びその製造方法
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
JPH0564627A (ja) 1991-09-09 1993-03-19 Olympus Optical Co Ltd 管内検査装置
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5271967A (en) 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
US5474618A (en) 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5716133A (en) 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JPH0979914A (ja) * 1995-09-12 1997-03-28 Asahi Sangyo Kk 熱電対保護管の連結構造
JPH0989676A (ja) 1995-09-21 1997-04-04 Casio Comput Co Ltd 電子体温計
US5697706A (en) 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3740587B2 (ja) 1996-11-25 2006-02-01 山里産業株式会社 熱電対
JP3687240B2 (ja) * 1996-12-11 2005-08-24 山里産業株式会社 熱電対の導線被覆構造
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3492135B2 (ja) 1997-02-13 2004-02-03 三菱重工業株式会社 熱流束計
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH11118615A (ja) 1997-10-09 1999-04-30 Kakunenryo Cycle Kaihatsu Kiko 伸縮性を有する被測定物用温度センサ
WO1999023276A1 (en) 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
WO1999023691A2 (en) 1997-11-03 1999-05-14 Asm America, Inc. Improved low mass wafer support system
JPH11183265A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
JPH11183264A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
JPH11258061A (ja) * 1998-03-16 1999-09-24 Aso Wataru 試料カートリッジの熱電対取付方法及び装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11287715A (ja) 1998-04-02 1999-10-19 Canon Inc 熱電対
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
KR100317238B1 (ko) 1998-11-03 2002-02-19 윤종용 가열로 온도검출용 스파이크 열전대 소자_
DE19936942A1 (de) 1999-08-05 2001-02-08 Bosch Gmbh Robert Brennstoffeinspritzventil
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6342691B1 (en) 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
KR20020086763A (ko) 2001-05-10 2002-11-20 주식회사 엘지이아이 플라즈마를 이용한 연속중합장치용 열전대
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030002562A1 (en) 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
US6658895B2 (en) 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
JP4157914B2 (ja) 2002-03-20 2008-10-01 坂野 數仁 温度測定装置及び温度測定方法
KR20030092305A (ko) 2002-05-29 2003-12-06 삼성전자주식회사 고온 언도우프 막 증착 설비의 챔버 외벽에 대한 온도측정장치
JP4231953B2 (ja) 2002-09-24 2009-03-04 ペガサスネット株式会社 耳孔式saw体温計及び該体温計による体温管理システム
US20050101843A1 (en) 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
JP2005172489A (ja) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd 溶湯用測温プローブ
JP4698190B2 (ja) 2004-09-22 2011-06-08 川惣電機工業株式会社 測温装置
JP2006153706A (ja) 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
JP4662307B2 (ja) * 2005-09-13 2011-03-30 株式会社岡崎製作所 ポリイミドをコーティングしたシース熱電対
US7871198B2 (en) 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20090052498A1 (en) 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2059480A (en) * 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) * 1939-01-14 1941-12-16 Western Electric Co Control apparatus
GB752277A (en) * 1953-10-28 1956-07-11 Canadian Ind 1954 Ltd Improved thermocouple unit
US4217463A (en) * 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4749416A (en) * 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
CN2067405U (zh) * 1990-05-04 1990-12-12 冶金工业部钢铁研究总院 高温抗氧化钨-铼热电偶

Also Published As

Publication number Publication date
JP5255054B2 (ja) 2013-08-07
US20080289574A1 (en) 2008-11-27
TW200907310A (en) 2009-02-16
ATE498119T1 (de) 2011-02-15
EP2156155A1 (en) 2010-02-24
EP2156155B1 (en) 2011-02-09
US7874726B2 (en) 2011-01-25
TWI439680B (zh) 2014-06-01
WO2008147731A1 (en) 2008-12-04
DE602008004911D1 (de) 2011-03-24
JP2010528291A (ja) 2010-08-19
CN101663569A (zh) 2010-03-03

Similar Documents

Publication Publication Date Title
CN101663569B (zh) 热电偶
JP5178913B2 (ja) 熱電対
EP2370996B1 (en) Thermocouple
US20090052498A1 (en) Thermocouple
JP5335992B2 (ja) 保護付熱電対ジャンクションを有する熱電対アッセンブリ
CN102439712B (zh) 热电偶及温度测量系统
US7651269B2 (en) Temperature probes having a thermally isolated tip
KR100228449B1 (ko) 온도 측정 기판
CN106898537A (zh) 加热的传输线
CN104995726B (zh) 多区加热器中的温度测量
JP2007188833A (ja) 誘導結合プラズマトーチ
JP2931967B2 (ja) 高沸点気体状分子導入用誘導結合プラズマトーチ
ES2762074T3 (es) Sensor de temperatura sin contacto para alambres de cobre en movimiento
EP3274726B1 (en) Structure for achieving dimensional stability during temperature changes
KR101309588B1 (ko) 루프 히트파이프 기반 전기로
SU1721452A1 (ru) Устройство дл измерени температуры

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210414

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.