CN102439712B - 热电偶及温度测量系统 - Google Patents

热电偶及温度测量系统 Download PDF

Info

Publication number
CN102439712B
CN102439712B CN201080020267.7A CN201080020267A CN102439712B CN 102439712 B CN102439712 B CN 102439712B CN 201080020267 A CN201080020267 A CN 201080020267A CN 102439712 B CN102439712 B CN 102439712B
Authority
CN
China
Prior art keywords
thermocouple
sheath
hole
aligning parts
closing cap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080020267.7A
Other languages
English (en)
Other versions
CN102439712A (zh
Inventor
R·康纳
R·K·阿加瓦尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN102439712A publication Critical patent/CN102439712A/zh
Application granted granted Critical
Publication of CN102439712B publication Critical patent/CN102439712B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/14Supports; Fastening devices; Arrangements for mounting thermometers in particular locations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种热电偶,具有至少一个内对准部件或至少一个外对准部件或者它们的组合,用于在半导体衬底处理反应器的基座环内形成的孔内正向定位和对准至少一个热电偶结。外对准部件被配置为在孔内纵向地正向对准热电偶结。内对准部件被配置为相对于孔在热电偶的护套内旋转地正向定位热电偶结。

Description

热电偶及温度测量系统
技术领域
本发明涉及温度传感器,更具体地涉及被配置为在半导体处理设备中增强温度控制的准确度的温度传感器。
背景技术
高温半导体处理室被用于将各种的材料层沉积到一个或多个衬底表面上。典型地,一个或更多衬底或工件,例如硅片,被放置在处理室内的工件支架上等待被处理。衬底和工件支架都被加热到期望的温度。在一典型的处理步骤中,反应物气体流经每个被加热的衬底,藉此化学气相沉积(CVD)或原子层沉积沉积(ALD)反应将反应物气体中的反应物材料的薄层沉积到衬底表面上。在后续过程中,取决于衬底的大小和电路的复杂度,这些层被制作成集成电路以及成千上万或者甚至上百万的集成器件。
必须仔细控制各种过程参数以确保生成的沉积层的高质量。一个这样重要的参数是在每个处理步骤期间衬底的温度。例如,在化学气相沉积期间,沉积气体在特定的温度下反应以在衬底上沉积薄层。如果温度跨衬底表面变化剧烈,沉积层会变得不平坦,这将导致在完成的衬底表面上的不可利用区域。因此,在反应物气体被引入处理室之前衬底温度稳定并统一于期望温度是重要的。
相似地,在其它热处理期间衬底上温度的不一致或不稳定可能影响衬底表面上生成的结构的一致性。温度控制对于其非常重要的其它过程包括,但并不局限于,氧化、氮化、掺杂剂扩散、溅射沉积、光刻、干法刻蚀、等离子体处理和高温退火。
用于测量被处理衬底附近和紧邻被处理衬底的各种位置的温度的方法和系统是已知的。典型地,热电偶被放置在被处理的衬底附近的各种位置上,并且这些热电偶被操作性地连接到控制器来帮助在衬底的整个表面上提供更一致的温度。例如,授权给Van Bilsen的美国专利号为6,121,061的专利讲授了在围绕衬底的不同点测量温度的多个温度传感器,包括放置在衬底前缘附近的一热电偶,另一个放在后缘附近,一个在一侧,另一个在衬底下位于衬底中心附近。
热电偶是一种温度测量装置,常用于半导体处理反应室来测量围绕被处理衬底的不同位置的温度和反应室内大体的温度。热电偶典型地包括通过将多个导线末端熔合在一起形成的至少一个结,其中导线由至少两种不同的金属形成,热电偶形成在它们之间。热电偶被安装在反应室内以确保热电偶结被准确放置来提供在特定位置上的温度测量。当安装期间热电偶结偏移了准确位置时,热电偶结离期望位置越远,热电偶提供的温度数据将越不准确。另外,对于沿其长度有多个结的热电偶,热电偶的旋转也可使得结远离期望位置。
典型地,当生产本领域公知的热电偶时,没有部件正向定位热电偶内的结。而且,当热电偶被安装到半导体处理设备的反应室内时,本领域公知的热电偶上没有部件来确保热电偶在设备内被正确地对准以使结被放置在用于温度测量的准确位置。
因此,需要有内对准部件的热电偶,其允许结在生产过程中一致地位于热电偶内。也需要有外对准部件的热电偶,其允许在安装过程中热电偶被正向定位在反应室内。
发明内容
在本发明的一个方面中,提供了可布置在基座环内的热电偶。该热电偶包括可布置在基座环内的护套。该热电偶还包括位于护套内的至少一个热电偶结。热电偶也包括至少一个内对准部件和/或至少一个外对准部件以相对于基座环正向定位或对准至少一个热电偶结。
在本发明的另一方面,提供在基座环的孔内的、用于温度测量的热电偶。热电偶包括护套,其在一端具有测量尖端,在相对端具有开口。热电偶还包括布置在护套内的支撑构件。热电偶也包括位于护套内的至少一个热电偶结。热电偶进一步包括位于邻近于测量尖端的至少一个外对准部件或位于临近于开口的内对准部件。外对准部件和内对准部件被配置为正向定位或对准基座环的孔内的热电偶结。
在本发明的又一个方面,提供在半导体处理反应器内测量温度的系统。该系统包括基座环和在基座环中形成的孔内可布置的热电偶。基座环具有形成在其中的至少一个孔,并且该孔包括封闭端并形成第一横截面形状。在孔的封闭端形成凹陷区域,其中凹陷区域形成孔内的第二横截面形状。第二横截面形状不同于第一横截面形状。热电偶可布置在孔内,且热电偶包括在其一端具有测量尖端且在其相对端具有开口的护套。该热电偶还包括位于护套内的至少一个热电偶结。该热电偶还包括位于邻近于测量尖端的至少一个外对准部件和/或位于邻近于开口的内对准部件,其中外对准部件和内对准部件被配置为正向定位或对准基座环的孔内的热电偶结。
从以下对本发明实施例的描述中,本发明的优势对于本领域技术人员来说将变得更加明显,本发明的实施例被示出和描述仅仅是以举例的方式。正如将要被意识到的,本发明能够以其它的或者不同的实施例实现,并且它的细节在各种不同的方面能够被修改。因此,附图和说明书在本性上将被视为是示例性的而不是限制性的。
附图说明
图1是半导体处理反应器实施例的侧横截面视图;
图2是基座环实施例的底视图;
图3是图2中的基座环的孔的侧横截面视图;
图4是本发明热电偶实施例的侧横截面视图;
图5A是图4的热电偶的测量尖端的放大的侧横截面视图;
图5B是图4的热电偶的测量尖端的放大的端视图;
图6A是在热电偶的测量尖端和基座环的孔的封闭端的外对准部件的实施例的立体图;
图6B是在图6A的测量尖端和孔处的外对准部件的顶视图;
图7A是在热电偶的测量尖端和基座环的孔的封闭端的外对准部件的实施例的立体图;
图7B是在图7A的测量尖端和孔处的外对准部件的顶视图;
图8A是具有两个外对准部件的热电偶的实施例的放大的侧视图;
图8B是图8A的具有两个外对准部件的热电偶的放大的端视图;
图8C是具有两个外对准部件的热电偶的实施例的放大的侧视图;
图8D是图8C的具有两个外对准部件的热电偶的放大的端视图;
图8E是具有四个外对准部件的热电偶的实施例的放大的侧视图;
图8F是图8E的具有四个外对准部件的热电偶的放大的端视图;
图8G是具有单个外对准部件的热电偶的实施例的放大的侧视图;
图8H是图8G的具有单个外对准部件的热电偶的放大的端视图;
图8I是具有两个外对准部件的热电偶的实施例的放大的侧视图;
图8J是图8I的具有两个外对准部件的热电偶的放大的端视图;
图9是热电偶的另一实施例的侧横截面视图;
图10是图9的热电偶的内对准部件的实施例的分解视图。
具体实施方式
参照图1,示出了本领域公知的半导体处理反应器10的示例性实施例。反应器10可被配置为用于化学气相沉积(“CVD”)过程、原子层沉积(“ALD”)过程、或将薄层材料沉积到位于反应器10内的衬底上的任何其他任何过程。图1示出了典型应用在由亚利桑那州(AZ)、菲尼克斯市(Phoenix)的ASM美国公司生产的Epsilon工具中的已知的反应器10。所示实施例的反应器10包括外壳12和冷壁、位于外壳12内的单衬底反应室14。然而,应当被本领域技术人员理解的是反应室14可以是能处理其中的衬底的任何类型。反应室14定义了在其内发生化学反应的反应空间16。反应室14包括入口18,通过该入口18处理气体被引入反应空间16,还包括出口20,通过该出口20处理气体离开反应空间16。反应器10还包括布置在外壳12内的多个加热元件22以向反应室14提供辐射热。
在一实施例中,衬底支撑组件24至少部分位于反应室14内,如图1所示。衬底支撑组件24包括衬底架26、架支撑构件28、基座环30和基座环支架32。衬底架26被配置为在处理过程中支撑反应空间16内的衬底34。架支撑构件28操作性地连接于衬底架26,并且架支撑构件28附连到轴36上,轴36向下延伸穿过管38,管38从反应室14的底面向外延伸。该轴36操作性地连接于马达(未示出),该马达位于反应器10的外部,该马达被配置为旋转轴36,藉此使得架支撑构件28和衬底架26以相应方式在反应室14内旋转。
在一实施例中,基座环30围绕着衬底架26,且被配置为在处理过程中补偿自衬底34的边缘和衬底架26的温度损失。如图1所示,基座环30由操作性地附连到反应室14的下表面的基座环支架32支撑。在一实施例中,基座环30形成为单件,并包括穿过下游或其后表面42形成的至少一个孔40,如图1-2所示。应被本领域技术人员理解的是,基座环30可以具有任意数量形成于其中的孔40,并且孔40能被形成在基座环30的任何表面中。在图1-3所示的示例性实施例中,基座环30包括三个穿过其后表面42形成的孔40。孔40被配置为接收温度测量装置,如热电偶、高温计或能测量基座环30内特定位置的温度的任何其它装置。孔40仅穿过基座环30的一部分长度而形成,以致于孔40的一端是开着的另一端是关着的,因此提供用于接收温度测量装置的盲孔。
孔40临近形成在基座环30中的孔44而形成,如图2所示。孔40的长度和位置允许位于其中的温度测量装置测量在衬底架26(图1)周围的不同位置的局部温度。在一实施例中,沿基座环30的边延伸的每个边孔40的封闭端位于邻近于基座环30的前缘46。在另一实施例中,每个边孔40的封闭端位于基座环30后缘42和前缘46之间的大约一半位置以致于边孔40的封闭端定位于离孔44的最近点。本领域技术人员应理解的是,边孔40可以是任意长度,并且相对的边孔的长度可以是不同的。在一实施例中,位于边孔40之间的后孔40延伸以致于后孔40的封闭端定位于紧邻孔44的边缘。
参照图3,示出了基座环30的边孔40的横截面,其中温度测量装置位于孔40内。在图示的实施例中,温度测量装置是热电偶50。热电偶50的测量尖端52被定位为紧邻孔40的封闭端,但是应被本领域技术人员理解的是,测量尖端52可以位于沿孔40的长度的任意位置。
图4示出了改进的热电偶50的实施例。在图示的实施例中,热电偶50包括护套54、支撑构件56、第一导线58、第二导线60、螺母62、封闭盖64、插头66和内对准部件68和/或外对准部件70。护套54是伸长的构件,其具有形成其一远端的测量尖端52以及在其中形成开口72的相对的远端。护套54沿其整个长度具有基本上恒定的厚度,但是应被理解的是,护套54可形成沿其长度具有不同的厚度。在一实施例中,护套54是由石英组成的,但是可以使用任何其它足以承受反应室14内的循环温度变化的材料。护套54的测量尖端52可以形成为圆形末端,但是本领域技术人员应理解到测量尖端52可以是任何形状,例如圆锥形的、半球状的等。例如,在另一实施例中(未示出),测量尖端52形成平面。
如图4所示,支撑构件56被部分布置在护套54内并通过开口72延伸到测量尖端52的内表面。在一实施例中,支撑构件56是由陶瓷形成的伸长构件,但是可以使用任何其它足以承受反应室14(图1)内的循环温度的材料。在一实施例中,支撑构件56包括沿支撑构件56的至少一部分长度形成的两个孔74。本领域技术人员应理解的是支撑构件56可以包括任何数量穿过其形成的孔74。每个孔74被配置为在其中接收第一和第二导线58、60之一。第一和第二导线58、60中的每一个的一部分延伸超过邻近于护套54的测量尖端52的支撑构件56的第一远端76。邻近于支撑构件56的第一远端76的第一和第二导线58、60的这些自由末端被熔合在一起形成结78。第一和第二导线58、60由不同的金属形成,因此在它们之间形成热电偶。在一实施例中,如图4所示,结78位于与邻近于测量尖端52的护套54的内表面成邻接关系的位置。在另一实施例中(未示出),结78相对于测量尖端52以隔开方式位于邻近于护套54的内表面。在图4-5B示出的热电偶的示例实施例是单结热电偶,其中结78位于在测量尖端52处的热电偶50的远端。本领域技术人员应理解的是,作为热电偶50的可选实施例,结78可以位于沿热电偶的长度的任意位置,或者热电偶50可以包括位于沿热电偶的长度的实质上相同或不同位置的多个结78,以下将对此进行解释。
在一实施例中,支撑构件56的第二远端80延伸穿过护套54的开口72,如图4所示。孔74的末端的位置超过开口72以致于第一和第二导线58、60同样地延伸超过护套54的开口72。第一和第二导线56、58中的每一个的剩下的自由末端被操作性地连接到插头66。螺母62和封闭盖64配置为保护支撑构件56的延伸超过护套54的开口72的部分以确保支撑构件56保持位于护套54内。
特别地,当在基座环的盲孔或孔安装热电偶时,一个困难是在孔内正确地对准或定位热电偶的测量结。因为热电偶被插入到盲孔时,保证正确的定位和/或对准是困难的因为操作员不能看到孔内来确认其中的测量结的定位。当在热电偶的远端安装具有测量结的热电偶时,常常期望定位热电偶的测量尖端紧邻孔的封闭端,而不与孔的封闭端接触。然而,操作员可能延伸热电偶进孔太远以致于测量尖端接触基座环,这可能对护套造成损坏并降低温度测量的准确度或者造成热电偶的过早破坏。替换地,如果热电偶没被插入进孔足够远,结离孔的封闭端非常远,以致于离结的期望位置的增加的距离减小了温度测量的准确度。当安装具有至少一个远离测量尖端位于沿其长度的测量结的热电偶时,确保结正确定位而热电偶不在孔内旋转是困难的,由此结移动到远离用于最佳温度测量的期望位置。对于高度依赖温度的处理,准确和可重复的温度测量对于确保处理的最佳条件常常是重要的。因此,当在反应室14内安装热电偶时,在预定的位置上正向定位热电偶的结的能力从而优化温度测量的准确度和可重复性是一个重要因素。内对准部件68和外对准部件70,要么单独要么结合,配置为始终如一地正向定位和/或对准相对于基座环30的热电偶50的结78。
如图4-5B所示,显示具有一对外对准部件70的热电偶50的一实施例。应被本领域技术人员理解的是,尽管图示实施例包括两个外对准部件70,热电偶50可包括任意数量的外对准部件70。配置热电偶50的外对准部件70以保证位于测量尖端52的结78被始终如一地正向定位于基座环30的孔40(图3)内。外对准部件70将参考单结热电偶被描述,在单结热电偶中结78被定位在与在测量尖端52处的护套54的内表面成邻接关系或紧邻在测量尖端52处的护套54的内表面。应被本领域技术人员理解的是在其它实施例中,结78可以被定位在沿热电偶50的长度的任意位置,或者热电偶50可以包括多个结78。
在一实施例中,每一个外对准部件70包括形成在护套54的测量尖端52的外表面中的切口82,如图4-5B所示。在示例性实施例中,切口82包括定位表面84和停止表面86。在一实施例中,定位表面84从在护套54的测量尖端52附近的一位置延伸并向后朝向护套54的开口72延伸。定位表面84实质上是平面,其朝向实质上平行于护套54的纵轴,该平面形成关于圆柱护套的弦。停止表面86是相对于定位表面84以通常横向方式朝向的表面,因此在停止表面86和定位表面84之间形成角度。在一实施例中,停止表面86正交于定位表面84,它们之间实质上形成直角。在另一实施例中,停止表面86的朝向相对于定位表面84形成钝角。停止表面86从定位表面84放射状地向外延伸到护套54的边缘以在其中形成切口82。切口82的横截面形状对应于在基座环30内的孔40的封闭端88处的形状(图2-3)。
在图2-3图示的基座环30的实施例中,孔40延伸肋的几乎整个长度,孔40形成在肋中,以提供进入基座环30的肋的盲孔用来接收热电偶50。在一实施例中,基座环30的盲孔的孔40靠从基座环30移除材料形成,从而形成仅基座环30的长度的一部分的凹陷。在另一实施例中,盲孔的孔40靠从基座环30移除材料形成,从而形成沿基座环30的整个长度的凹陷,并且插塞或插入物随后被插入进凹陷的末端并密封形成盲孔。
在基座环30的一实施例中,如图6A-6B所示,每个孔40a延伸肋90的整个长度,以致于孔40a在两头是开着的。在一实施例中,插入物92被插入进孔40a的末端来提供横截面形状,此横截面形状不同于孔40a其余长度的横截面形状。在一实施例中,穿过肋90的孔40a为圆柱孔,并且插入物92同样是配置为在孔40a的末端内相配合的圆柱构件。应被本领域技术人员理解的是孔40a可形成为具有任意横截面形状,并且通常插入物92的横截面形状对应于孔40a的横截面形状。
在一实施例中,插入物92是圆柱构件,其被配置用来被插入到形成在基座环30中的孔40a内以形成孔的封闭端8(图2-3)。插入物92包括第一末端表面94、相对的第二末端表面96,和从第二末端表面96纵向延伸的凹陷区域98。在一实施例中,凹陷区域98包括一对相对的纵向表面100,纵向表面100实质上平行于插入物92的纵轴延伸,还包括在纵向表面100之间延伸的横向表面102。应被本领域技术人员理解的是,插入物92的凹陷区域98可包含任意数量的纵向表面100,借此纵向表面100形成不同于插入物92可插入其中的孔40a的横截面形状的横截面形状。配置插入物92用来封堵邻近于基座环30的前缘46的孔40a的末端,以提供封闭端给孔40a。插入物92进一步配置用来接收热电偶的测量尖端52来将结78正向对准和定位到孔40a内。在一实施例中,插入物92被布置在孔40a内,插入物92被定位以致于纵向表面100以实质上垂直的方式被定向。在另一实施例中,插入物92被布置在孔40a内以致于纵向表面100朝向非直角方向。
在另一实施例中,如图7A-7B所示,盲孔的孔40a的封闭端可用一对块104和定位在形成于基座环30内的锁销108内的插塞106形成。块104是和基座环30用同样的材料形成的固体构件。当定位在锁销108内,块104和插塞106在孔40a的末端形成凹陷区域,其中配置凹陷区域98用来接收热电偶50的测量尖端52。每个块104包括第一末端表面94、第二末端表面96以及在末端表面94、96之间延伸的纵向表面100。块104被置于孔40a的对边上的锁销108内,其中块104的纵向表面100被指向彼此。块104被定位在锁销108内以覆盖孔40a的一部分,因此修改了孔40a的横截面形状。因为块104覆盖了孔40a的一部分,块104的第二末端表面96被暴露并被配置以接触热电偶50的切口82的停止表面86。块104被确保在锁销108内以防止块104移动。插塞106被插入锁销108内并接触邻近其纵向表面100的块104的表面。插塞106的横截面形状实质上与锁销108的形状相同从而彻底填塞并覆盖锁销108的开口并密封孔40a。位于块104的纵向表面100之间的空间的插塞106的表面部分形成在凹陷区域98内的横向表面102。
凹陷区域98的深度结合热电偶50的切口82的长度,决定了当热电偶50被插入到孔40a且护套54的测量尖端52被接收在凹陷区域98内时结78的定位和对准,如图6B和7B所示。当热电偶50被插入到孔40a中时,仅当护套54的定位表面84相对于凹陷区域98的相应的纵向表面100以基本上平行的方式被对准时,护套54的测量尖端52可被接收在凹陷区域98内。护套54的横截面形状略微小于插入物的凹陷区域98的开口,以允许护套54和基座环30热膨胀或收缩而不使护套摩擦或接触基座环30,护套摩擦或接触基座环30可产生对沉积过程有害的粒子或者也可能减少热电偶50的寿命。热电偶50的测量尖端52被插入到凹陷区域98中直到护套54的每个切口82的停止表面86接触第二末端表面96,因而防止热电偶50进一步插入进孔40a。在一实施例中,当切口82的停止表面86接触第二末端表面96时,测量尖端52保持与凹陷区域98的横向表面102分隔开。在另一实施例中,当切口82的停止表面86接触第二末端表面96时,测量尖端52邻接凹陷区域98的横向表面102。
给出了凹陷区域98的纵向表面100的长度和相对于凹陷区域98的横向表面102的结78的预定位置,切口82的定位表面84的长度和相对于测量尖端52的停止表面86的位置可被确定以确保结78在孔40a内被正确地定位。替换地,给出了定位表面84的长度和切口84的停止表面86的位置以及相对于凹陷区域98的横向表面102的结78的预定位置,凹陷区域98的纵向表面100的长度可被确定以确保结78在孔40a内被正确地定位。本领域技术人员应理解的是相对于孔40a的末端的结78的最佳位置的确定可靠修改凹陷区域98的尺寸和/或热电偶50的切口82的尺寸来实现。配置热电偶50的外对准部件70以在孔40a内正向定位热电偶50的结78使得热电偶50的准确度最优化。应被本领域技术人员理解的是配置外对准部件70用于正向定位一个或多个位于沿热电偶50的长度的任何位置的结78。
在另一实施例中,热电偶50包括两个形成在邻近于测量尖端52的护套54中的外对准部件70,如图8A-8B所示。每个外对准部件70包括切口82,其中切口82之一相对于另一个切口82形成角度。在示例性实施例中,切口82朝向它们之间基本上垂直的角度。在另一实施例(未示出)中,切口82朝向它们之间非垂直的角度。在再一个实施例中,如图8C-8D所示,热电偶50包括形成在邻近于测量尖端52的护套54中的两个外对准部件70。每个外对准部件70包括切口82,其中切口82以它们之间基本上平行的方式朝向。在图示的实施例中,切口82以基本上水平的方式朝向。在图5A-5B图示的实施例中,切口82以基本上平行的方式朝向并且进一步以基本上垂直的方式朝向。在另一实施例(未示出)中,平行的切口82朝向非水平且非垂直。在进一步的实施例中,如图8E-8F所示,热电偶50包括形成在邻近于测量尖端52的护套54中的四个外对准部件70。每个外对准部件70包括切口82,其中每一个切口82的朝向相对于邻近的切口82基本上成直角。应被本领域技术人员理解的是任意数量的切口82可形成在护套5中以形成不同于沿护套54的其余长度的横截面形状的横截面形状。
在更进一步的实施例中,如图8G-8H所示,热电偶50包括形成在邻近于测量尖端52的护套54中的单个外对准部件70。图示的外对准部件70形成为标定构件、或键控构件110。键控构件110对应于在基座环30的孔40a的封闭端88中的凹陷区域98中的相似形状。键控构件110可与护套54一体形成,或键控构件100可附于护套54的外表面以形成外对准部件70。在图示实施例中,护套54的外直径被减小了以致于从护套54的外表面放射状地向外延伸的键控构件110并不沿剩余护套54放射状地延伸远过外直径。
在另一实施例中,如图8I-8J所示,热电偶50包括形成在邻近于测量尖端的护套54内的两个外对准部件70。在一实施例中,外对准部件70形成为相同的部件。在另一实施例中,外对准部件70形成为不同的部件,如在图8I-8J中所示的实施例。应被本领域技术人员理解的是,当多个外对准部件70形成在护套54中,外对准部件70可形成为相同类型的部件或者部件可形成为不同类型的部件。在图示的实施例中,外对准部件70之一形成为切口82,并且另一外对准部件形成为标定构件或者键孔112。键孔112是靠在局部位置移除部分厚度的护套54而形成,从而形成护套54内的凹陷,其中配置键孔112用来接收形成在孔40a的封闭端88(图2-3)的突出(未示出)。应被本领域技术人员理解的是外对准部件70可以是任何形成在护套54里或护套54上的部件或部件的组合从而来在护套54的测量尖端52处或附近提供横截面形状,此横截面形状不同于与其紧邻的护套54的横截面形状,以致于配置外对准部件70来始终如一地正向定位热电偶插入其中的基座环30的孔40a内的热电偶50的结78。
在一实施例中,热电偶50包括内对准部件68,其被配置用来正向定位在护套54内的热电偶的至少一个结78以使热电偶50的位置准确度最优化。在双结热电偶50的示例实施例中,如图9所示,热电偶50包括两个结78,其中第一结78a形成在支撑构件56的第一远端且位于测量尖端52处,第二结78b形成在沿支撑构件56的长度的一位置并和第一结78a相隔开。第二结78b位于形成在支撑构件56中的锁销114内在支撑构件56的第一远端76和第二远端80之间的一位置。因为第二结78b位于偏离支撑构件56的中心线的一位置,当在基座环30内安装时,第二结78b的位置可能影响由第二结78b测量的温度的准确度。例如,如果热电偶50被放置在孔40a内以致于第二结78b被指向远离孔44和衬底34(图1-2),测量的温度比如果热电偶50被放置在孔40a内以致于第二结78b被指向朝向孔44和衬底34时较不准确。配置热电偶68的内对准部件68用来确保相对于护套54的结78a、78b的适当对准以致于当热电偶50被安装在孔40a中时,结78a、78b被正向定位于孔40a内的预定位置。
在一实施例中,如图10所示,内对准部件68包括螺母62、封闭盖64、第一定位构件116、第二定位构件118和轴环120。螺母62是具有穿过其纵向中心线形成的孔122的圆柱构件。配置孔122用来接收和围绕护套54。配置螺母62用来被可移动地连接到封闭盖64。在一实施例中,配置封闭盖64用来接收护套54的开口72。
配置轴环120用来将支撑构件56接收在其中并位于邻近于护套54的开口72,如图9-10所示。当装配时,配置轴环120用来接触弹簧128的一个末端,其中弹簧128的相对的末端接触第二轴环130,第二轴环130操作性地连接到与轴环120隔离开的支撑构件56。轴环120提供基本上固定的基部,弹簧128从此基部延伸。配置弹簧128用来提供作用在第二轴环130上的偏置力,因此使支撑构件56朝向护套54的测量尖端52偏移。支撑构件56被偏向测量尖端52用来保证第一结78a在测量尖端52的正确定位。支撑构件56被允许自由地穿过轴环120纵向热膨胀和收缩。配置轴环120用以在护套54的开口72和封闭盖64之间安装。
在一实施例中,第一定位构件116被操作性地连接到护套54的外表面,如图9-10所示。在一实施例中,第一定位构件116被与护套54一体形成。在另一实施例中,第一定位构件116分离于护套54形成并安全地附于护套54上。在一实施例中,第一定位构件116由与护套54相同的材料形成。在另一实施例中,第一定位构件116由与护套54不同的材料形成。第一定位构件116包括环124和从环124延伸出的停止构件126。在一实施例中,环124是具有沿其纵向中心线形成的孔的圆柱环。配置环124中的孔用来围绕和接触护套54的外表面。应被本领域技术人员理解的是环124可以是带有用来接收护套54的孔的任意形状。环124的外直径大于护套54的外直径以致于环124从护套54的外表面放射状地向外延伸。
在一实施例中,停止构件126从环124向护套54的开口72纵向向后延伸,如图9-10所示。停止构件126是伸长的、实质上线性的构件,它从护套54的外表面放射状地向外延伸。在一实施例中,停止构件126从环124延伸至护套54的后边缘形成开口72。在另一实施例中,停止构件126在环124和护套54的后边缘之间延伸仅仅一部分距离。在一实施例中,停止构件126从护套54的外表面放射状地向外延伸了与环124相同的距离。在另一实施例中,停止构件126从护套54的外表面放射状地向外延伸要么比环124更长的距离要么比环124短的距离。当装配时,螺母62彻底环绕第一定位构件116的至少一部分。
在一实施例中,第二定位构件118被操作性地连接到支撑构件56的第二远端80,如图9-10所示。第二定位构件118被配置以与支撑构件56在纵向方向热膨胀或收缩相应的方式沿支撑构件56的纵向中心线转化。在一实施例中,第二定位构件118与支撑构件56分离地形成并且然后操作性地与其连接。在另一实施例中,第二定位构件118与支撑构件56一体形成。在一实施例中,第二定位构件118和支撑构件56由相同的材料形成。在另一实施例中,第二定位构件118和支撑构件56由不同的材料形成。配置第二定位构件118以被接收在封闭盖64内。
在一实施例中,封闭盖64是伸长的、通常是圆柱形的构件,其具有第一末端132和相对的第二末端134,如图9-10所示。封闭盖64包括形成在邻近于第一末端132的外表面上的螺纹136。螺纹136对应于螺母62的带螺纹的内表面(未示出)来螺纹式连接螺母62和封闭盖64。应被本领域技术人员理解的是,当封闭盖64可连接于螺母62时,封闭盖64可被形成为足以帮助正向定位热电偶50的结78的任意形状。也应被本领域技术人员理解的是螺母62和封闭盖64可由任何其它的连接机构连接。封闭盖64包括第一凹陷区域138和第二凹陷区域140,其中第一和第二凹陷区域138、140彼此分隔开。在一实施例中,第一凹陷区域138形成为沿封闭盖64的部分纵向长度的伸长孔。第一凹陷区域138从封闭盖64的第一末端132向内纵向延伸。第一凹陷区域138包括定义第一凹陷区域138的纵向末端的停止表面142。封闭盖64还包括穿过封闭盖64的辐射厚度而形成并以纵向方式从第一末端132延伸的狭槽144。狭槽144从封闭盖64的外表面放射状地向内延伸到第一凹陷区域138的外表面。当装配热电偶50时,配置狭槽144用来接收第一定位构件116的停止构件126。狭槽144的形状实质上对应停止构件126的形状以致于当停止构件126被接收在狭槽144内时,第一定位构件116被阻止相对于封闭盖64旋转。因为第一定位构件116相对于封闭盖64保持基本上转动固定,护套54同样地相对于封闭盖64保持基本上转动固定,因为第一定位构件116被固定地连接到护套54。
在一实施例中,第一凹陷区域138与第二凹陷区域140被网146分离开,如图10所示。第二凹陷区域140从封闭盖64的外表面向内放射状地延伸。配置第二凹陷区域140用来接收支撑构件56的第二远端80和连接到其上的第二定位构件118。第二凹陷区域140的横截面形状对应于被接收在其中的第二定位构件118的横截面形状。例如,如果第二定位构件118形成为具有基本上正方形横截面形状的立方体,第二凹陷区域140用可被放射状地向外伸长到封闭盖64的外表面的大体相似的正方形横截面形状形成。第二凹陷区域140的横截面形状对应于第二定位构件118的横截面形状来防止当装配时支撑构件56相对于封闭盖64转动。当允许第二定位构件118和支撑构件56纵向热膨胀或收缩时,配置第二凹陷区域140用来防止第二定位构件118的转动。因此,第二凹陷区域140的纵向长度是充足的用以保证支撑构件56和第二定位构件118被允许以纵向方式自由地热膨胀和收缩而不使第二定位构件118接触第二凹陷区域140的任一纵向末端。
当装配热电偶50时,如图9-10所示,包括第一和第二结78a、78b的支撑构件56被插入到护套54内直到第一结78a位于护套54的内表面在其测量尖端52处。轴环120被放置在支撑构件56的外表面周围并被置于护套54的开口72内。护套54的测量尖端52被滑动穿过螺母62的孔122,并且螺母62沿护套54的长度被滑动直到第一定位构件116的环124接触螺母62里的孔的末端表面(未示出)。封闭盖64定位于支撑构件56的周围以致于第二定位构件118位于第二凹陷区域140内且第一定位构件116的停止构件126被接收在封闭盖64的狭槽144内直到轴环120接触封闭盖64的第一凹陷区域138的停止表面142。螺母62被螺纹式地连接到封闭盖64,因此确保护套54和支撑构件56紧固在一起。由于螺母62在封闭盖64的周围变紧,轴环120沿支撑构件56向其第一远端76转换,因此使得弹簧128和结78在测量尖端52处被正向定位到倚靠护套54的内表面。
如图9-10所示,内对准部件68被配置成正向定位在热电偶50的护套54内的结78a、78b以提供基座环30的孔40a内的结78a、78b的正向定位和对准。在图示实施例中,第二结78b被放置在形成在支撑构件56的外表面中的锁销114中,因此使得第二结78b相对于支撑构件56的纵向中心线偏移。在图示实施例中,当支撑构件56在装配过程中被插入到护套54中时,支撑构件56被旋转以致于锁销114和第二结78b基本上纵向对准第一定位构件116的停止构件126。在另一实施例(未示出)中,当在装配过程中支撑构件56被插入到护套54中时,支撑构件56被旋转以致于锁销114和第二结78b相对于彼此朝向180度以致于第二结78b从热电偶的纵向中心线放射状地向外指向相反方向,从该方向停止构件126从护套54的外表面放射状地向外延伸。应被本领域技术人员理解的是第一定位构件116的停止构件126能相对于第二结78b以任何方式朝向。当装配热电偶50时,停止构件126和第一定位构件116之间的邻接关系防止了封闭盖64相对于护套54转动。进一步地,因为封闭盖64被防止相对于护套54转动,由于第二定位构件118的外放射状表面和封闭盖64的第二凹陷区域140之间的邻接关系,支撑构件56同样被防止相对于护套54转动。因为支撑构件56被防止相对于护套54转动,第二结78b相对于护套54实质上保持固定于预定位置。内对准部件68因此相对于停止构件126正向定位第二结78b。同样地,当知道第二结78b相对于停止构件126的固定的对准时,安装将内对准部件68合并到基座环30的孔40a中的热电偶50的操作员,可正向定位孔40a内的结78a、78b。在一实施例中,封闭盖64可进一步包括形成在第二末端134上的标记来指示相对于护套54的第二结78b的位置,用于进一步帮助正向定位盲孔的孔40a内的第二结78b。
在一实施例中,热电偶50包括仅仅一内对准部件68,仅仅至少一个外对准部件70,或内对准部件68和外对准部件70的组合来正向定位基座环30的孔40内一个或多个结78。例如,对于双结热电偶50(图9),热电偶50包括至少一个外对准部件70来正向定位基座环30的孔40的封闭端88处的凹陷区域98内的第一结78a。图示热电偶50还包括内对准部件68来相对于护套54正向定位第二结78b以致于操作员知道第二结78b的相对于内对准部件68的方向和位置。应被本领域技术人员理解的是内对准部件68可相对外对准部件70被预先对准,因为外对准部件70可防止护套54相对于孔40转动。
尽管本发明的优选实施例已被描述,应被理解的是本发明并不限制于此,修改可在不偏离本发明的情况下做出。本发明的范围被附加权利要求项定义,并且所有在权利要求意义内的设备、过程和方法,不论照字面意义还是等价的,被倾向于包括在其中。

Claims (14)

1.一种在基座环的孔内用于温度测量的热电偶,所述热电偶包括:
护套,在其一端具有测量尖端且在其相对端具有开口;
布置在所述护套内的支撑构件;
位于所述护套内的至少一个热电偶结;以及
被定位为位于邻近于所述开口的至少一个内对准部件,其中所述内对准部件被配置为在所述基座环的所述孔内正向定位或对准所述至少一个热电偶结,以及其中所述内对准部件被配置为在所述护套内旋转地对准所述至少一个热电偶结。
2.一种在基座环的孔内用于温度测量的热电偶,所述热电偶包括:
护套,在其一端具有测量尖端且在其相对端具有开口;
布置在所述护套内的支撑构件;
位于所述护套内的至少一个热电偶结;以及
被定位为位于邻近于所述开口的至少一个内对准部件,其中所述内对准部件被配置为在所述基座环的所述孔内正向定位或对准所述至少一个热电偶结,以及其中所述内对准部件包括螺母、封闭盖、第一定位构件和第二定位构件,其中所述封闭盖可附连到所述螺母。
3.如权利要求2所述的热电偶,其特征在于,所述第一定位构件被固定地连接于所述护套,并且所述第一定位构件可被接收在形成于所述封闭盖中的狭槽内,使得所述第一定位构件接触所述狭槽以防止所述护套相对于所述封闭盖旋转。
4.如权利要求2所述的热电偶,其特征在于,所述第二定位构件被固定地连接于所述支撑构件的一端,所述第二定位构件可位于在所述封闭盖内形成的凹陷区域内,并且所述第二定位构件接触所述凹陷区域以防止所述支撑构件相对于所述封闭盖旋转。
5.如权利要求1-4之一所述的热电偶,其特征在于,所述热电偶还包括外对准部件,并且其中所述外对准部件被配置为在所述孔内平移地对准所述至少一个热电偶结。
6.如权利要求1-4之一所述的热电偶,其特征在于,所述热电偶还包括外对准部件,其中所述护套包括第一横截面形状,并且所述外对准部件在所述护套上形成与所述第一横截面形状不同的第二横截面形状。
7.如权利要求6所述的热电偶,其特征在于,所述外对准部件可被接收在所述孔的一端的凹陷区域内,并且所述外对准部件的尺寸允许在所述孔内纵向地正向定位所述至少一个热电偶结。
8.一种在半导体处理反应器内测量温度的系统,所述系统包括:
具有至少一个形成在其中的孔的基座环,其中所述孔包括封闭端且形成第一横截面形状;
形成在所述封闭端的凹陷区域,其中所述凹陷区域在所述孔内形成第二横截面形状,并且所述第二横截面形状不同于所述第一横截面形状;
可布置在所述孔内的热电偶,所述热电偶包括:
在其一端具有测量尖端且在其相对端具有开口的护套;
位于所述护套内的至少一个热电偶结;以及
被定位为邻近于所述测量尖端的外对准部件和被定位为邻近于所述开口的内对准部件中的至少一个,其中所述外对准部件和所述内对准部件中的至少一个被配置为在所述基座环的所述孔内正向定位或对准所述至少一个热电偶结。
9.如权利要求8所述的系统,其特征在于,所述凹陷区域由至少一个纵向表面、邻近于所述纵向表面的末端表面以及横截表面限定。
10.如权利要求9所述的系统,其特征在于,所述热电偶包括外对准部件,并且其中所述外对准部件包括形成定位表面和停止表面的切口。
11.如权利要求10所述的系统,其特征在于,所述热电偶被插入到所述孔内,使得当所述定位表面和所述至少一个纵向表面对准时,所述测量尖端可被接收在所述凹陷区域内,直到所述停止表面接触所述末端表面,藉此在所述孔内纵向地正向定位所述至少一个热电偶结。
12.如权利要求8所述的系统,其特征在于,所述热电偶包括内对准部件,并且其中所述内对准部件包括螺母、封闭盖、第一定位构件和第二定位构件,并且所述封闭盖被可释放地附连到所述螺母。
13.如权利要求12所述的系统,其特征在于,所述第一定位构件防止所述护套相对于所述封闭盖旋转。
14.如权利要求13所述的系统,其特征在于,所述第二定位构件防止所述至少一个热电偶结相对于所述封闭盖旋转,使得所述内对准部件在所述孔内旋转地正向对准所述至少一个热电偶结。
CN201080020267.7A 2009-05-06 2010-04-30 热电偶及温度测量系统 Active CN102439712B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/436,315 US8100583B2 (en) 2009-05-06 2009-05-06 Thermocouple
US12/436,315 2009-05-06
PCT/US2010/033252 WO2010129431A2 (en) 2009-05-06 2010-04-30 Thermocouple

Publications (2)

Publication Number Publication Date
CN102439712A CN102439712A (zh) 2012-05-02
CN102439712B true CN102439712B (zh) 2014-12-10

Family

ID=43050786

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080020267.7A Active CN102439712B (zh) 2009-05-06 2010-04-30 热电偶及温度测量系统

Country Status (4)

Country Link
US (1) US8100583B2 (zh)
CN (1) CN102439712B (zh)
TW (1) TWI480527B (zh)
WO (1) WO2010129431A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9267850B2 (en) 2009-05-06 2016-02-23 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8360636B2 (en) * 2009-07-02 2013-01-29 Renesas Electronics America Inc. Temperature detection and reporting system and method in power driving and/or consuming system
US8950936B2 (en) * 2009-07-10 2015-02-10 Honda Motor Company Limited Nail puncture test device having temperature measurement function
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104296887B (zh) * 2013-07-17 2017-04-05 中微半导体设备(上海)有限公司 一种实现稳定测温的测温装置及其所在的半导体设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103698029B (zh) * 2013-11-29 2016-07-06 北京七星华创电子股份有限公司 一种热偶固定装置及温度测量装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN105403326A (zh) * 2015-11-11 2016-03-16 无锡市路华肥料科技有限公司 肥料用温度检测器
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11124879B2 (en) * 2017-11-13 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for upper susceptor rings
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US10753807B2 (en) * 2018-01-19 2020-08-25 Te Wire & Cable Llc Thermocouple termination/closure and method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN110739252B (zh) * 2019-11-27 2021-09-17 北京北方华创微电子装备有限公司 半导体加工设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102311717B1 (ko) * 2019-12-13 2021-10-13 (주)울텍 급속 열처리장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7212006B2 (ja) * 2020-06-12 2023-01-24 日本碍子株式会社 熱電対ガイド及びセラミックヒータ
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113008399A (zh) * 2021-01-26 2021-06-22 松诺盟科技有限公司 高温耐腐蚀热电偶及其加工方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2577264Y (zh) * 2002-10-18 2003-10-01 段家龙 快速拆装热电偶

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) * 1874-09-01 Improvement in processes of brightening tobacco
US2059480A (en) * 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) * 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2563931A (en) * 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2660061A (en) * 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
US3011006A (en) * 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
US3038951A (en) * 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3263502A (en) * 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
FR1408266A (fr) 1964-06-30 1965-08-13 Realisations Electr Et Electro Prise de raccordement pour thermocouples
US3588192A (en) * 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
CA1002299A (en) * 1971-06-24 1976-12-28 William H. Trembley Installation tool
JPS5132766B2 (zh) * 1972-07-25 1976-09-14
US4217463A (en) * 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4234449A (en) * 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
JPS5611329A (en) * 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4355912A (en) * 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
US4454370A (en) * 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) * 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
US4527005A (en) * 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4692556A (en) * 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) * 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
US4721534A (en) * 1985-09-12 1988-01-26 System Planning Corporation Immersion pyrometer
JPS62134936A (ja) 1985-12-05 1987-06-18 アニコン・インコ−ポレ−テツド 腐食耐性をもつたウエ−フア−・ボ−ト及びその製造法
US4749416A (en) * 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4721533A (en) * 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) * 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4830515A (en) * 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
IT1227708B (it) * 1988-07-29 1991-05-06 Pomini Farrel Spa Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.
US5158128A (en) * 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
US4934831A (en) * 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5360269A (en) * 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
DE68927182T2 (de) * 1989-11-22 1997-01-30 Nippon Steel Corp Thermoelementähnliche temperaturfühler und verfahren zur temperaturmessung flüssigen stahles
LU87693A1 (fr) * 1990-03-07 1991-10-08 Wurth Paul Sa Sonde de prise d'echantillons gazeux et de mesures thermiques dans un four a cuve
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5071258A (en) * 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
US5104514A (en) * 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
CN2101223U (zh) * 1991-06-10 1992-04-08 李国飞 热电偶温度计保护套管
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5271967A (en) * 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US6235858B1 (en) * 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
DE4244189C2 (de) * 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Anlegetemperaturfühler
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) * 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
US5474618A (en) * 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) * 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) * 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5716133A (en) 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US5791782A (en) * 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
US5697706A (en) * 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
KR100212132B1 (ko) * 1996-04-24 1999-08-02 윤종용 횡형 확산로의 프로파일 열전대
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0818671A3 (en) * 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5806980A (en) * 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5611265A (en) * 1996-09-13 1997-03-18 Ronci; Fernando F. Combination charbroiler and fryer with spinning food basket
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
EP0887632A1 (en) 1997-06-24 1998-12-30 Isuzu Ceramics Research Institute Co., Ltd. A ceramic thermocouple for measuring temperature of molten metal
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6325858B1 (en) * 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
DE69813014T2 (de) * 1997-11-03 2004-02-12 Asm America Inc., Phoenix Verbesserte kleinmassige waferhaleeinrichtung
JP2001522141A (ja) * 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 低質量サポートを用いたウェハの加工方法
JPH11281497A (ja) * 1998-03-27 1999-10-15 Kawasaki Steel Corp 金属体内部の温度を測定するシース熱電対の取付け方法
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6257758B1 (en) * 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
KR100752682B1 (ko) * 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 유리질 보호용 장벽코팅
WO2002019400A1 (fr) * 2000-08-30 2002-03-07 Ibiden Co., Ltd. Dispositif ceramique chauffant permettant la production de semi-conducteurs et equipement d'inspection
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030002562A1 (en) * 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
US6658895B2 (en) * 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
JP4157914B2 (ja) * 2002-03-20 2008-10-01 坂野 數仁 温度測定装置及び温度測定方法
US20030192435A1 (en) * 2002-04-11 2003-10-16 Mcnair John Duncan Cooking appliance
JP4231953B2 (ja) 2002-09-24 2009-03-04 ペガサスネット株式会社 耳孔式saw体温計及び該体温計による体温管理システム
JP3671951B2 (ja) * 2002-10-08 2005-07-13 住友電気工業株式会社 測温装置及びそれを用いたセラミックスヒータ
US6676290B1 (en) * 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
DE10328660B3 (de) * 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
US20050101843A1 (en) * 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
JP2005172489A (ja) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd 溶湯用測温プローブ
JP4698190B2 (ja) 2004-09-22 2011-06-08 川惣電機工業株式会社 測温装置
JP2006153706A (ja) 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
US20060275933A1 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
JP5017950B2 (ja) * 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7997795B2 (en) * 2006-05-02 2011-08-16 Watlow Electric Manufacturing Company Temperature sensors and methods of manufacture thereof
JP5027573B2 (ja) * 2006-07-06 2012-09-19 株式会社小松製作所 温度センサおよび温調装置
DE502007004378D1 (de) * 2006-09-06 2010-08-26 Kistler Holding Ag Temperatursensor mit bearbeitbarer Front
US7871198B2 (en) * 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7651269B2 (en) * 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2577264Y (zh) * 2002-10-18 2003-10-01 段家龙 快速拆装热电偶

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9267850B2 (en) 2009-05-06 2016-02-23 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device

Also Published As

Publication number Publication date
US20100284438A1 (en) 2010-11-11
WO2010129431A3 (en) 2011-03-31
CN102439712A (zh) 2012-05-02
WO2010129431A2 (en) 2010-11-11
TW201115126A (en) 2011-05-01
US8100583B2 (en) 2012-01-24
TWI480527B (zh) 2015-04-11

Similar Documents

Publication Publication Date Title
CN102439712B (zh) 热电偶及温度测量系统
CN102113109B (zh) 基座环
TWI489526B (zh) 具有防護熱耦接頭之熱耦組件及具有此熱耦組件之溫度控制系統
US9297705B2 (en) Smart temperature measuring device
US7874726B2 (en) Thermocouple
US8262287B2 (en) Thermocouple
US7946762B2 (en) Thermocouple
US8888360B2 (en) Methods and systems for in-situ pyrometer calibration
US8147137B2 (en) Pyrometry for substrate processing
US20090052498A1 (en) Thermocouple
US20220172980A1 (en) Self-centering susceptor ring assembly
TW202237898A (zh) 經調適用於監測邊緣晶圓溫度的反應器系統及其組裝方法以及經調適用於在反應器系統中將邊緣高溫計與晶圓邊緣對準的對準夾具
TWI719103B (zh) 用於製造半導體之晶圓支撐結構及元件
EP4065747B1 (en) Substrate support device for a reaction chamber of an epitaxial reactor with gas flow rotation, reaction chamber and epitaxial reactor
KR101971117B1 (ko) 마이크로 웰이 적용된 써모커플 웨이퍼
JPWO2006046308A1 (ja) 半導体基板の支持体
KR101803513B1 (ko) 기판 처리 장치
KR20140090809A (ko) 서셉터 지지부를 구비하는 웨이퍼 에피택셜 성장 장치
JP3438665B2 (ja) 熱処理装置
KR20060077674A (ko) 온도센서 내장형 반도체 퍼니스 보트

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210318

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.