WO2010143306A1 - 不揮発性半導体記憶装置 - Google Patents

不揮発性半導体記憶装置 Download PDF

Info

Publication number
WO2010143306A1
WO2010143306A1 PCT/JP2009/060803 JP2009060803W WO2010143306A1 WO 2010143306 A1 WO2010143306 A1 WO 2010143306A1 JP 2009060803 W JP2009060803 W JP 2009060803W WO 2010143306 A1 WO2010143306 A1 WO 2010143306A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
semiconductor layers
nth
silicon
oxide film
Prior art date
Application number
PCT/JP2009/060803
Other languages
English (en)
French (fr)
Inventor
究 佐久間
敦寛 木下
清利 正弘
大輔 萩島
村岡 浩一
Original Assignee
株式会社 東芝
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社 東芝 filed Critical 株式会社 東芝
Priority to JP2011518196A priority Critical patent/JP5456036B2/ja
Priority to PCT/JP2009/060803 priority patent/WO2010143306A1/ja
Publication of WO2010143306A1 publication Critical patent/WO2010143306A1/ja
Priority to US13/316,603 priority patent/US8779502B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

 本発明の例に係わる不揮発性半導体記憶装置は、半導体基板と、半導体基板の表面に対して垂直な第1方向に積み重ねられ、半導体基板の表面に平行な第2方向に延びる第1乃至第nのメモリストリングス(nは2以上の自然数)と、第1乃至第nのメモリストリングスのうちの1つを選択する第1乃至第kのレイヤー選択トランジスタ(kは2以上の自然数)とを含む。第1乃至第kのレイヤー選択トランジスタは、第1乃至第nの半導体層の第3方向の側面上に、ゲート絶縁膜及びセレクトゲート電極の順番で、前記第3方向に積み重ねられる積層構造を有する。セレクトゲート電極は、第1乃至第nの半導体層に跨って形成される。第1乃至第kのレイヤー選択トランジスタの各々は、第1乃至第nの半導体層のうちの少なくとも1つで常時オンの制御不可能状態であり、残りの少なくとも1つの半導体層でオン/オフが可能である制御可能状態である。

Description

不揮発性半導体記憶装置
 本発明は、電気的にデータの書き換えが可能な不揮発性半導体記憶装置に関する。
 NAND型フラッシュメモリの高集積化、大容量化を進めるためには、デザインルールを縮小することが必要となる。このデザインルールを縮小するためには、配線パターン等の更なる微細加工が必要となる。配線パターン等の更なる微細加工を実現するためには、非常に高度な加工技術が要求されるため、結果としてデザインルールの縮小化が困難になってきている。
 そこで、近年、メモリの集積度を高めるために、メモリセルを3次元的に配置した半導体記憶装置が、例えば、特許文献1~4に提案されている。
 これら特許文献1~4に開示されている不揮発性半導体記憶装置は、積層されたアクティブエリアを一括加工で形成し、ゲートコンタクトも一括形成すると共に、積層された各メモリストリングを階層選択トランジスタで一括選択する方式で形成することによりチップ面積の縮小化を実現し、メモリの集積度を高めることができる構造である。また、積層構造を一括形成できることで製造にかかるコストを大幅に抑制することが可能となる。
 しかし、この構造においても、メモリストリング一層ごとに階層選択のためのトランジスタ及びコンタクトプラグを形成しているため、それらを形成するための領域が必要となり、結果として積層を増やすごとにメモリストリングが増大してしまう。さらに、積層された各メモリストリングからの配線の引き出しの形成が難しく、或いは配線の引き出しを形成することに伴う配線数及び回路面積の増大が生じてしまい、積層化のメリットが縮小してしまう。
 現状、これらの問題を解決する不揮発性半導体記憶装置の構造については開示されていない。
特開2004-152893号 特開2007-266143号 特開2008-78404号 特開2009-27136号
 本発明は、高い集積度を有する不揮発性半導体記憶装置を提供する。
 本発明の例に係わる不揮発性半導体記憶装置は、半導体基板と、前記半導体基板の表面に対して垂直な第1方向に積み重ねられ、前記半導体基板の表面に平行な第2方向に延びる第1乃至第nのメモリストリングス(nは2以上の自然数)と、前記第1乃至第nのメモリストリングスのうちの1つを選択する第1乃至第kのレイヤー選択トランジスタ(kは2以上の自然数)とを備える。前記第1乃至第nのメモリストリングスは、前記第1方向に互いに絶縁されて積み重ねられる第1乃至第nの半導体層と、前記第1乃至第nの半導体層の前記第1及び第2方向に垂直な第3方向の側面上に、第1の絶縁膜、電荷蓄積層、第2の絶縁膜及びコントロールゲート電極の順番で、前記第3方向に積み重ねられる第1の積層構造とを有する。前記第1乃至第nのメモリストリングスは、前記第1乃至第nの半導体層をチャネルとし、前記第2方向に直列に接続される電気的に書き換え可能な第1乃至第mのメモリセル(mは2以上の自然数)を有する。前記コントロールゲート電極は、前記第1乃至第nの半導体層に跨って形成される。前記第1乃至第kのレイヤー選択トランジスタは、前記第1乃至第nの半導体層の前記第3方向の側面上に、第3の絶縁膜及び第1のセレクトゲート電極の順番で、前記第3方向に積み重ねられる第2の積層構造を有する。前記第1乃至第kのレイヤー選択トランジスタは、前記第1乃至第nの半導体層をチャネルとし、前記第2方向に直列に接続される。前記第1のセレクトゲート電極は、前記第1乃至第nの半導体層に跨って形成される。前記第1乃至第kのレイヤー選択トランジスタの各々は、前記第1乃至第nの半導体層のうちの少なくとも1つで常時オンの制御不可能状態であり、残りの少なくとも1つの半導体層でオン/オフが可能である制御可能状態である。前記第1乃至第kのレイヤー選択トランジスタの前記第1乃至第nの半導体層での前記制御不可能状態/前記制御可能状態の関係は、互いに異なっている。
 本発明によれば、高い集積度を有する不揮発性半導体記憶装置を実現できる。
本発明の一実施形態の構造を示す斜視図。 図1のA-A線に沿う断面図。 本発明の一実施形態の構造を示す斜視図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 図1のA-A線に沿う断面図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の構造を示す断面図。 本発明の一実施形態の構造を示す断面図。 本発明の一実施形態の構造を示す断面図。 本発明の一実施形態の構造を示す断面図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の製造方法を示す斜視図。 本発明の一実施形態の構造を示す断面図。 本発明の一実施形態の構造を示す斜視図。 図16AのC-C線に沿う断面図。 本発明の一実施形態の構造を示す斜視図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。 本発明の一実施形態の製造方法を示す断面図。
 以下に、本発明の各実施の形態について図面を参照しながら説明する。尚、実施の形態を通して共通の構成には同一の符号を付すものとし、重複する説明は省略する。また、各図は発明の説明とその理解を促すための模式図であり、その形状や寸法、比などは実際の装置と異なる個所があるが、これらは以下の説明と公知の技術を参酌して適宜、設計変更することができる。
 図1は、本発明の一実施形態に係る不揮発性半導体記憶装置9の概略構成図である。
 シリコン基板(半導体基板)1の主面上には、絶縁膜2を介してシリコン層3と、絶縁層4が、それぞれ、3a、4a、3b、4b、3cのように交互に積層されており、シリコン層3cの上には絶縁膜5が積層されている。
 尚、本実施形態では、シリコン層3は3a、3b、3cからなる3層を有する構成を示しているが、これに限定されるものではなく多ければ多いほど記憶装置としてのメモリ容量が大きくなるため好ましい。
 また、絶縁層4もシリコン層3の層数に伴い増減する。
 絶縁膜2は、例えば、シリコン酸化膜(SiO)で形成されており、シリコン層3は、アモルファス状態、多結晶状態でも良いが、単結晶状態が望ましい。
 絶縁層4は、例えば、シリコン酸化膜(SiO)で形成されているが、シリコン(Si)層3がそれぞれ電気的に絶縁できる構造であれば、他の絶縁膜でも良い。
 絶縁膜5は、例えば、シリコン酸化膜で形成されているが、シリコン窒化膜(SiN)などの他の絶縁膜、又はそれらが積層された構造でも良い。
 積層膜6(X)は、絶縁膜2、シリコン層3、絶縁層4、絶縁膜5の積層からなる積層構造の周りを取り囲むように形成されている。ここで、Xは1~nの自然数をとり、n個の積層膜6のそれぞれが電気的に絶縁された状態で形成されている。
 この積層膜6は、絶縁膜6(X)a、電荷蓄積層6(X)b、絶縁膜6(X)c、電極6(X)dからなっており、前述した、積層膜6のそれぞれが電気的に絶縁された状態とは、少なくとも電極6(X)dがそれぞれ電気的に絶縁されていることを示している。
 従って、図1には、積層膜6のすべてが独立しているが、絶縁膜6(X)a、電荷蓄積層6(X)b、絶縁膜6(X)cのいずれか又はそれらの全てが連結していても良い。
 絶縁膜6(X)aは、例えば、シリコン酸化膜(SiO)で形成されている。電荷蓄積層6(X)bは、例えば、シリコン窒化膜(Si)で形成されている。絶縁膜6(X)cは、例えば、シリコン酸化膜(SiO)から形成されている。電極6(X)dは、例えば、ニッケルシリサイド(NiSi)で形成されている。
 シリコン層3上に絶縁膜6(X)a、電荷蓄積層6(X)b、絶縁膜6(X)c、電極6(X)dが積層されているこの積層構造は、シリコン層3をチャネルとし、絶縁膜6(X)aをトンネル膜とし、電荷蓄積層6(X)bを電荷蓄積層とし、絶縁膜6(X)cをブロック絶縁膜とし、電極6(X)dをコントロールゲートとしたダブルゲート(シリコン層3の両側にコントロールゲートが配置される構造)のメモリセルを形成している。
 また、積層膜6(X)は、それぞれが電気的に絶縁されており、全体として一本のメモリストリング構造となっている。ここで、図1では、シリコン層3が複数積層されており、さらに、それぞれのシリコン層3は、独立したメモリストリングのチャネルとして制御が可能であるため、図1全体として、メモリストリングを3次元に積層させた構造となっている。
 尚、絶縁膜6(X)aは、各世代の不揮発性半導体記憶装置で必要な材料を適宜選択して用いることができる。
 具体的には、シリコン酸窒化膜や、シリコン酸化膜とシリコン窒化膜との組み合わせ等が挙げられる。また、それらの膜に、シリコンナノ粒子や金属イオンを混ぜた材料も有効であるし、それらの材料を組み合わせたものでも良い。
 また、電荷蓄積層6(X)bは、各世代の不揮発性半導体記憶装置で必要な材料を適宜選択して用いることができる。
 具体的には、ハフニウム酸化膜(HfO)や、シリコン窒化膜(SiN)等の構成元素であるシリコン及び窒素の組成比を変化させた材料、及び、それらの膜に、シリコンナノ粒子や金属イオンを混ぜた材料も有効であるし、それらの材料の組み合わせでも良い。或いは、シリコン層などの半導体層でも良い。
 また、絶縁膜6(X)cは、各世代の不揮発性半導体記憶装置で必要な材料を適宜選択して用いることができる。
 具体的には、シリコン酸化膜、酸化アルミニウム膜(Al)、ランタンアルミニウム酸化膜(LaAlO)、ランタンアルミニウムシリコン酸化膜(LaAlSiO)及びそれらの構成元素の組成比を変化させてものでも良い。
 また、電極6(X)dは、各世代の不揮発性半導体記憶装置で必要な材料を適宜選択して用いることができる。
 具体的には、タンタルナイトライド(TaN)、タンタルカーバイト(TaC)、チタンナイトライド(TiN)等のような金属化合物でも同様の効果が得られる。
 さらに、金属的な電気伝導特性を示す、V、Cr、Mn、Y、Mo、Ru、Rh、Hf,Ta、W,Ir、Co、Ti、Er、Pt、Pd、Zr、Gd、Dy、Ho、Er及びそれら種々のシリサイドでも良い。
 本実施形態では、積層膜6(X)と平行に10a、10b、10cからなる複数のレイヤー選択トランジスタ10及び選択トランジスタ11が形成されている。
 図1では、レイヤー選択トランジスタ10及び選択トランジスタ11が積層膜6(X)と同様の構造で描かれているが、シリコン層3をチャネルとしたトランジスタ動作をする構造であれば良い。
 即ち、レイヤー選択トランジスタ10は、メモリセルとは異なる構造、例えば、1つの絶縁膜と、1つのゲート電極からなるMOS(Metal‐Oxide‐Semiconductor)型トランジスタであっても良い。
 さらに、図1のA-A線に沿う断面である図2に示すように、各シリコン層3にはレイヤー選択トランジスタ10が常時オン(ノーマリーオン)状態になる不純物添加領域がレイヤー選択トランジスタ10のチャネル部の一部領域13に施されている。
 例えば、図2で示すように、シリコン層3aでは、レイヤー選択トランジスタ10aのチャネル領域13aに、シリコン層3bではレイヤー選択トランジスタ10bのチャネル領域13bに、シリコン層3cではレイヤー選択トランジスタ10cのチャネル領域13cに、それぞれ不純物添加が施されている。
 尚、不純物の材料としては、N型半導体となる不純物、例えば、砒素(As)リン(P)などの5価元素、また、P型半導体となる不純物、例えば、ホウ素(B)インジウム(In)などの3価元素であり、それらの材料を組み合わせたものでも可能である。
 各シリコン層3(メモリストリング)は、オン/オフが可能なレイヤー選択トランジスタ10、例えば、チャネルに不純物添加が施されていないレイヤー選択トランジスタ10で制御されることになる。但し、オン/オフ制御可能なレイヤー選択トランジスタ10のチャネルに閾値制御のための不純物添加を行ってもよい。
 この場合、シリコン層3aは、レイヤー選択トランジスタ10b、10cで、シリコン層3bは、レイヤー選択トランジスタ10a、10cで、シリコン層3cは、レイヤー選択トランジスタ10a、10bで、それぞれのメモリストリングが制御される。
 この構造となることで、従来、メモリストリングスのレイヤーそれぞれに独立に形成していたコンタクトを取るための電極は、例えば、図1に代表されるように、電極7及び電極8として、全てのシリコン層3に共通にコンタクトが取れるそれぞれ1つのみを形成すれば良い。
 従って、メモリストリングを積層させたとしても、メモリストリングの長さの増大は、一層ごとにレイヤー選択トランジスタ10を形成する領域のみとなるため、メモリストリングを積層させるごとにコンタクト用電極と選択トランジスタを形成していた従来の構造に比べてその長さを短くすることが可能となる。
 尚、電極7、8としては、タングステン(W)の他、アルミニウム(Al)等の金属材料が挙げられる。
 また、集積度を上げるためには、図3に示すように、それぞれのメモリストリングスを並べて配置するのが有効であるが、この場合、従来のようにメモリストリング一層増加ごとにコンタクト電極の数が増加すると、それぞれから引き出される配線数及びその制御のための回路面積が増大してしまう。
 一方、本発明では、メモリストリング一層増加ごとにコンタクト用電極の数が増えることは無いため、一層増加ごとにレイヤー選択トランジスタ10が一本増加するのに対応する増大量に抑えることが可能となりチップ面積の縮小が可能となる。
 尚、図3では、例として、メモリストリングスが3つ配置した構造を示しているが、これに限定されるものではない。
 また、各メモリストリングが制御できる構造であれば、図2に示した形態に限らず適宜変形することが可能である。
 例えば、図8に示すように、複数の不純物添加領域13が、シリコン層3に存在しても良いし、図11に示すように、積層膜6(X)を挟むように両側に形成されていても良い。また、図11に示すように、レイヤー選択トランジスタ10の数は、シリコン層3の数と同じある必要は無く、各メモリストリングが制御できる構成であれば、その数が多くても少なくても良い。
 図11のように、レイヤー選択トランジスタを、メモリストリングスを挟み込むように配置すれば、不純物添加領域13の拡散による影響を最小限に抑えることができる。
 但し、メモリストリングスの長さを考えると数が少ない方が短くでき、その分集積度が高まるため望ましい。
 図4A乃至図4Fに、本発明の一実施形態に係る不揮発性半導体記憶装置9の製造工程、特に、シリコン層3における不純物添加領域13の一形成工程を示す。
 まず、図4Aに示すように、例えば、面方位(100)、比抵抗10~20Ωcmのp型(第1導電型)シリコン基板1を用意し、このシリコン基板1の上に、シリコン酸化膜層2を介して、シリコン層3aを例えば堆積により積層させる。
 次に、図では省略するが、フォトエッチングプロセス(Photo Etching Process、以下「PEP」という。いわゆるフォトレジストを使ったリソグラフィ工程)により塗布したレジストに開口を設けるパターニングを行い、イオンインプラ(Ion Implantation)を施すことでシリコン層3a内に不純物添加領域13aを形成する。
 次に、同様の方法で、シリコン酸化膜層4aを介して、シリコン層3bを積層させPEPによるパターニングとイオンインプラを施すことで不純物添加領域13bを形成する。
 次に、同様の方法で、シリコン酸化膜層4bを介して、シリコン層3cを積層させPEPによるパターニングとイオンインプラを施すことで不純物添加領域13cを形成する。
 次に、シリコン層3cの上に、シリコン酸化膜層5を積層させる。この状態を図4Bに示す。
 次に、シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5を、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図4Cで示すような短冊(フィン)形状に加工する。
 次に、フィン形状に加工したシリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5に対して、例えば、熱酸化を施すことによりシリコン酸化膜106aを形成する。
 このシリコン酸化膜106aは、堆積によっても形成が可能である。また、シリコン酸化膜に限らず、各世代の不揮発性半導体記憶装置で必要なトンネル絶縁膜材料を適宜選択して用いることができる。
 次に、電荷蓄積層106b、絶縁膜106c、電極106dを堆積する。この状態を図4Dに示す。
 次に、図4Eに示すように、シリコン酸化膜106a、電荷蓄積層106b、絶縁膜106c、電極106dを例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図1で示した、そのそれぞれが電気的に絶縁された積層膜6(X)、レイヤー選択トランジスタ10及び選択トランジスタ11を形成する。
 この時、レイヤー選択トランジスタ10は、それぞれに対応する不純物添加領域13がチャネルとなる位置に形成される必要がある。
 次に、図では省略するが、例えば、シリコン酸化膜を全体に埋め込み化学機械的研磨(CMP)処理することで、積層膜6(X)、レイヤー選択トランジスタ10、選択トランジスタ11、フィン形状に加工したシリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5のそれぞれの間を埋めるような層間絶縁膜を形成する。
 次に、図4Fに示すように、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより電極7及び電極8を形成する領域にホールパターンを形成する。その後、例えばタングステンの堆積後、ホールパターン以外のタングステンをCMP処理することで電極7及び電極8が形成され、図1の不揮発性半導体記憶装置9が形成される。
 以上は、図1及び図2で示した実施形態を形成する製造工程である。特に図示しないが、他の実施形態もその一部を変更することで形成することが可能である。
 図8で示した構造を形成する場合には、図4A及び図4Bで示す不純物添加領域13を形成する工程において、図8中に示した不純物添加領域13が形成されるようにPEPによるパターニングを変更させれば良い。
 図11で示した構造を形成する場合には、図4A及び図4Bで示す不純物添加領域13を形成する工程において、図11中に示した不純物添加領域13が形成されるようにPEPによるパターニングを変更し、さらに、図4Cで示すフィン形状の加工においては、そのフィンの長さがレイヤー選択トランジスタを増加させた分、長くなるようPEPによるパターニングを変更して加工し、その後、図4Eで示す加工でも同様に、図11の構造となるようにPEPによるパターニングを変更して加工すれば良い。
 このように、各不純物添加領域を形成するにはPEPが必要となるが、コンタクト加工のPEPに比べてラフなPEPで済み、生産コストを抑えることが出来ると同時に、複雑な形状に加工する必要が無く形成がしやすい。また、電極7及び電極8は、加工深さが等しくても良いため、両者は、同時に形成することが可能となる。以上の点で従来よりも有効な構造である。
 図5A乃至図5Cに、本発明の一実施形態に係る不揮発性半導体記憶装置9の製造工程、特に、シリコン層3における不純物添加領域13の一形成工程を示す。
 まず、例えば、面方位(100)、比抵抗10~20Ωcmのp型(第1導電型)シリコン基板1を用意し、このシリコン基板1の上に、シリコン酸化膜層2を介して、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3cと交互に、例えば、堆積により積層させていき、この最表面のシリコン層3の上に絶縁膜5を、例えば、堆積により形成する。この状態を図5Aに示す。
 次に、PEPによりレジストパターニングを行い、イオンインプラを施すことで、シリコン層3a内に不純物添加領域13aを形成する。この状態を図5Bに示す。
 次に、同様に、PEPによるパターニングとイオンインプラによりシリコン層3b内に不純物添加領域13bを、シリコン層3c内に不純物添加領域13cを、それぞれ形成する。この時、不純物添加領域13a、13b、13cは、打ち込むイオンのエネルギーを変化させることにより深さを変化させて形成する。この状態を図5Cに示す。
 この状態は、前述した図4Bに対応している。この後は図示しないが、図4C~図4Fの図を用いて前述したプロセスと同様な手順で形成することで、図1の不揮発性半導体記憶装置9が形成される。
 以上は、図1及び図2で示した実施形態を形成する製造工程である。特に図示しないが、他の実施形態もその一部を変更することで形成することが可能である。
 図8で示した構造を形成する場合には、図5B及び図5Cで示す不純物添加領域13を形成する工程において、図8中に示した不純物添加領域13が形成されるようにPEPによるパターニングを変更させれば良い。
 図11で示した構造を形成する場合には、図5B及び図5Cで示す不純物添加領域13を形成する工程において、図11中に示した不純物添加領域13が形成されるようにPEPによるパターニングを変更し、さらに、図4Cで示すフィン形状の加工においては、そのフィンの長さがレイヤー選択トランジスタを増加させた分、長くなるようPEPによるパターニングを変更して加工し、その後、図4Eで示す加工でも同様に、図11の構造となるようにPEPによるパターニングを変更して加工すれば良い。
 このような形成方法により、シリコン層3を連続的に形成することができ、また、レジストがシリコン層3と接することが無いので、シリコン層3が汚染されることは無く、それによるチャネルとしての特性劣化を抑制することができる。
 但し、図4A~図4Fで示したプロセスに比べ、不純物添加領域を制御良く形成させるのが難しく、例えば、図5Bにおいて、シリコン層3a内に不純物添加領域13aを形成した際、シリコン層3b、3cにおける不純物添加領域13aの直上の領域内に不純物が添加されてしまう可能性がある。
 図6A乃至図6Cに、本発明の一実施形態に係る不揮発性半導体記憶装置9の製造工程、特に、シリコン層3における不純物添加領域13の一形成工程を示す。
 まず、図6Aに示すように、例えば、面方位(100)、比抵抗10~20Ωcmのp型(第1導電型)シリコン基板1を用意し、このシリコン基板1の上に、シリコン酸化膜層2を介して、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3cと交互に、例えば、堆積により積層させていき、この最表面のシリコン層3の上に絶縁膜5を、例えば、堆積により形成する。
 次に、シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5を、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図6Aで示すようなフィン形状に加工する。
 次に、シリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図6B中、15で示すように、階段形状を有し、かつ、フィン形状に加工された積層膜(シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5)に接するような形状に加工する。
 この時、階段形状のそれぞれの高さは、シリコン層3a、3b、3cの上端よりも下に位置するのが望ましい。
 次に、不純物添加領域13を作成するのに望ましい不純物が添加されたシリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図6C中、16で示すように、シリコン酸化膜15の階段形状のそれぞれの上に形成されるような形状に加工する。
 この時、16の高さは、それぞれが接しているシリコン層3の上に形成されているシリコン酸化膜4a、4b、絶縁膜5の上端よりも下に位置するのが望ましい。
 ここで、例えば1000℃の熱を加えることで、不純物添加シリコン酸化膜16から不純物添加シリコン酸化膜16がそれぞれ接しているシリコン層3へと不純物が固層拡散し、不純物添加領域13が形成される。
 次に、シリコン酸化膜15及び不純物添加シリコン酸化膜16を例えば異方性ドライエッチングを施すことにより除去すると、図4Cと同様の構造になる。
 この後は図示しないが、図4D~図4Fの図を用いて前述したプロセスと同様な手順で形成することで、図1及び図2で示した不揮発性半導体記憶装置9が形成される。
 図8で示した構造を形成する場合には、図9で示すように、シリコン酸化膜15及び不純物添加シリコン酸化膜16を入れ替えることで形成が可能となる。
 まず、シリコン基板1上に、シリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5をそれぞれ積層し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことによりフィン形状に加工する。
 次に、不純物添加シリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図9A中、16aで示すように、階段形状を有し、かつ、フィン形状に加工された積層膜(シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5)に接するような形状に加工する。
 この時、階段形状のそれぞれの高さは、シリコン層3a、3b、3cの上端よりも下に位置するのが望ましい。
 次に、シリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図9B中、15で示すように、不純物添加シリコン酸化膜16aの階段形状のそれぞれの上に形成されるような形状に加工する。
 この時、15の高さは、それぞれが接しているシリコン層3の上に形成されているシリコン酸化膜4a、4b、絶縁膜5の上端よりも下に位置するのが望ましい。
 次に、不純物添加シリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図9C中、16bで示すように、シリコン酸化膜15のそれぞれの上に形成されるような形状に加工する。
 ここで、例えば、1000℃の熱を加えることで、不純物添加シリコン酸化膜16a、16bから不純物添加シリコン酸化膜16a、16bがそれぞれ接しているシリコン層3へと不純物が固層拡散し、不純物添加領域13が形成される。
 次に、シリコン酸化膜15及び不純物添加シリコン酸化膜16a、16bを、例えば、異方性ドライエッチングを施すことにより除去すると、図9Dと同様の構造になる。
 この後は図示しないが、図4D~図4Fの図を用いて前述したプロセスと同様な手順で形成することで、図1及び図8で示した不揮発性半導体記憶装置9が形成される。
 特に図示しないが、他の実施形態もその一部を変更することで形成することが可能である。
 図7A及び図7Bは、図6A乃至図6Cとその一部が異なる不揮発性半導体記憶装置9の製造工程である。
 まず、シリコン基板1上に、シリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5をそれぞれ積層し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことによりフィン形状に加工する。
 次に、シリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図7A中、15で示すように、階段形状を有し、かつ、フィン形状に加工された積層膜(シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5)に接するような形状に加工する。
 この時、階段形状のそれぞれの高さは、シリコン層3a、3b、3cの上端よりも下に位置するのが望ましい。
 ここで、シリコン絶縁膜層15を図7Aで示すような形状にすることにより、図7Bで示すように、その後の不純物添加シリコン酸化膜層16を形成する際、堆積のみで形成することが可能であり、PEPなどのプロセスを省略できるため、製造コストを低減することができる。
 図7Bの構造に形成した後、例えば、1000℃の熱を加えることで、不純物添加シリコン酸化膜16から不純物添加シリコン酸化膜16がそれぞれ接しているシリコン層3へと不純物が固層拡散し、不純物添加領域13が形成される。
 次に、シリコン酸化膜15及び不純物添加シリコン酸化膜16を例えば異方性ドライエッチングを施すことにより除去すると、図4Cと同様の構造になる。
 この後は図示しないが、図4D~図4Fの図を用いて前述したプロセスと同様な手順で形成することで、図1及び図2で示した不揮発性半導体記憶装置9が形成される。
 図10A及び図10Bは、図9A乃至図9Dとその一部が異なる不揮発性半導体記憶装置9の製造工程である。
 まず、シリコン基板1上に、シリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5をそれぞれ積層し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことによりフィン形状に加工する。
 次に、不純物添加シリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図10A中、16aで示すように、階段形状を有し、かつ、フィン形状に加工された積層膜(シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5)に接するような形状に加工する。
 この時、階段形状のそれぞれの高さは、シリコン層3a、3b、3cの上端よりも下に位置するのが望ましい。
 次に、シリコン酸化膜を堆積し、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図10A中、15で示すように、不純物添加シリコン酸化膜16aの階段形状のそれぞれの上及びそれ以外の積層フィン構造を覆うような形状に加工する。
 この時、不純物添加シリコン酸化膜層16a上に形成された15の高さは、それぞれが接しているシリコン層3の上に形成されているシリコン酸化膜4a、4b、絶縁膜5の上端よりも下に位置するのが望ましい。
 ここで、シリコン絶縁膜層15を図10Aで示すような形状にすることにより、図10Bで示すようにその後の不純物添加シリコン酸化膜層16bを形成する際、堆積のみで形成することが可能であり、PEPなどのプロセスを省略できるため、製造コストを低減することができる。
 図10Bの構造に形成した後、例えば、1000℃の熱を加えることで、不純物添加シリコン酸化膜16a、16bから不純物添加シリコン酸化膜16a、16bがそれぞれ接しているシリコン層3へと不純物が固層拡散し、不純物添加領域13が形成される。
 次に、シリコン酸化膜15及び不純物添加シリコン酸化膜16a,16bを、例えば、異方性ドライエッチングを施すことにより除去すると、図9Dと同様の構造になる。
 この後は図示しないが、図4D~図4Fの図を用いて前述したプロセスと同様な手順で形成することで、図1及び図8で示した不揮発性半導体記憶装置9が形成される。
 図10Aの構造からは、図10Bの構造を形成しなくても図9Dと同様の構造が形成できる。
 まず、図10Aの構造に形成した後、不純物添加領域13を作成するのに望ましい不純物を含むガス雰囲気中で熱処理を加えると、シリコン酸化膜15及び不純物添加シリコン酸化膜16aで覆われていないシリコン層3中に不純物が拡散し、また、一方で、不純物添加シリコン酸化膜16aから不純物添加シリコン酸化膜16aが接しているシリコン層3へと不純物が固層拡散することになり不純物添加領域13が形成される。
 特に図示しないが、他の実施形態もその一部を変更することで形成することが可能である。
 図6A乃至図6C、図7A及び図7B、図9A乃至図9D、図10A及び図10Bで示した方法で形成する場合、不純物添加領域13の位置関係は、不純物添加シリコン酸化膜16、16a、16bのそれぞれの形状で決まるため、図4A乃至図4F、図5A乃至図5Cで示した方法で形成した場合において、イオンインプラのためのPEPによるパターニングを行う際、それぞれの領域の位置関係がPEPの精度により保たれなくなってしまうという問題を回避できる。
 但し、シリコン酸化膜15及び不純物添加シリコン酸化膜16、16a、16bを階段状に加工する際に高さ制御が必要な形状の加工が必要となり、層が増加するとさらに困難度が上がってしまう。
 また、図6A乃至図6C、図7A及び図7B、図9A乃至図9D、図10A及び図10Bでは、フィン形状の両側を挟み込むように、シリコン酸化膜15及び不純物添加シリコン酸化膜16、16a16bを形成していたが、片側にのみ形成した場合でも可能である。但し、気相拡散を用いる場合は、もう一方の片側を全てシリコン層3への意図しない固相拡散が起こらない任意の層で覆う必要がある。
 また、図6A乃至図6C、図7A及び図7B、図9A乃至図9D、図10A及び図10Bでは、シリコン酸化膜を用いたが、材料はこれに限らず不純物を固層拡散させることができる材料であれば特に制限しない。
 図12A及び図12Bは、本発明の一実施形態に係る不揮発性半導体記憶装置の断面図である。
 図12Aは、図8で示した構造に、さらに、選択トランジスタ11bを追加した構造である。
 このような構造をとることでメモリストリングスのカットオフ特性が向上し、誤動作を抑制することができる。その場合、図12Bに示すように選択トランジスタ11cをさらに追加することでその効果は得られる。
 但し、選択トランジスタを増やした分、メモリストリングスの長さが長くなり、集積度を高めるという観点からは、選択トランジスタを増加しすぎることは望ましくない。
 図13は、本発明の一実施形態に係る不揮発性半導体記憶装置の断面図である。
 図2に示した構造と異なるのは、シリコン層3はそれぞれの不純物添加領域13で途切れており、また、各シリコン層3のそれぞれの上に積層された絶縁膜4a、4b、5は、各シリコン層3における不純物添加領域13のそれぞれの直上から途切れており、途切れた先の領域は、シリコン層14となっていることである。
 即ち、各シリコン層3は、不純物添加領域13を介して、各シリコン層3とは異なる別のシリコン層14により互いに接続される。
 この構造となることで、レイヤー選択トランジスタのチャネル部分のストリングが太くなり、その分電気抵抗が低くなるため、図2の構造よりもレイヤー選択が高速化し、メモリセルを高速に動作させることができる。
 この例では、不純物添加領域13は、シリコン層3cでは、メモリストリングスに最も近いレイヤー選択トランジスタのチャネルに設けられ、そのレイヤー選択トランジスタを制御不可能状態にする。
 また、不純物添加領域13は、シリコン層3bでは、メモリストリングスにから2番目に近いレイヤー選択トランジスタのチャネルに設けられ、そのレイヤー選択トランジスタを制御不可能状態にする。
 さらに、不純物添加領域13は、シリコン層3aでは、メモリストリングスから最も遠いレイヤー選択トランジスタのチャネルに設けられ、そのレイヤー選択トランジスタを制御不可能状態にする。
 但し、この順番は、これに限られることはなく、逆であってもよい。
 図14A乃至図14Eは、本発明の一実施形態に係る不揮発性半導体記憶装置の製造工程である。
 まず、例えば、面方位(100)、比抵抗10~20Ωcmのp型(第1導電型)シリコン基板1を用意し、このシリコン基板1の上に、シリコン酸化膜層2を介して、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3cと交互に、例えば、堆積により積層させていき、この最表面のシリコン層3の上に絶縁膜5を、例えば、堆積により形成する。
 次に、シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5からなる積層膜の一部を、図14Aで示すように、シリコン層3がそれぞれ一部露出するような階段形状に、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより加工する。
 次に、イオンインプラにより、シリコン層3の露出部に不純物添加領域13を形成する。この状態を図14Bに示す。この時必要あれば、不純物添加領域13のみを開口するPEPによるパターニングを行っても良い。
 次に、図14Cに示すように、シリコンを堆積し、例えばCMPで平坦化処理を行うことでシリコン層14を形成する。シリコン層14の平坦化は、ドライエッチングでも形成可能である。
 また、この時、シリコンを不純物添加領域13の上にエピタキシャル成長させて単結晶状態に形成しても良い。シリコン層が多結晶状態だと、結晶粒界を電流が流れてしまい、レイヤー選択トランジスタ10のオフ特性が劣化してしまうため、シリコン層14は、単結晶状態が望ましい。また、シリコン層14の上に絶縁膜を形成し、シリコン層14を保護しても良い。
 次に、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図14Cに示した積層構造を、図14Dで示すようなフィン形状に加工する。
 詳しい説明は省略するが、その後、図4D~図4Fの図を用いて前述したプロセスと同様な手順で形成することで、図14Eで示す構造となり、図13で示す不揮発性半導体記憶装置9が形成される。
 図15は、本発明の一実施形態に係る不揮発性半導体記憶装置の断面図である。
 図2に示した構造と異なるのは、メモリストリングへのコンタクト用電極7の周りに、不純物添加シリコン17が形成されている。
 本実施形態において、例えば、電極7がビット線に接続されているとし、電極8がソース線に接続されているとし、シリコン層3内の不純物添加領域13及び不純物添加シリコン17がそれぞれn型となっている場合における書き込みと消去の動作例を以下に示す。
 本実施形態は、本発明を限定するものではない。以下の実施形態において、p型半導体の構成要素をn型半導体に代え、かつ、n型半導体の構成要素をp型半導体に代えてもよい。
 書き込みは、ソース線コンタクト電極8とビット線コンタクト電極7とを接地し、選択トランジスタ11にはバイアスを印加せず、レイヤー選択トランジスタ10a、10b及び各メモリセル6(X=1~n)の制御ゲート6(X=1~n)dに正のバイアスを印加することで、メモリストリングスのチャネルとなるシリコン層3a、3b、3cにn型の蓄積領域を生じさせる。
 次いで、書き込みたいメモリセル、例えば、メモリセル6(3)の制御ゲート6(3)dに大きな正のバイアスを印加しメモリセル6(3)の電荷蓄積層6(3)bに電子を注入し書き込みを行う。
 この時、レイヤー選択トランジスタ10cにはバイアスが印加されていないので、シリコン層3a、3bをチャネルとするメモリセル6(3)には書き込まれず、シリコン層3cをチャネルとするメモリセル6(3)のみが書き込まれる。
 また、書き込みたくないメモリセル、例えば6(3)以外の6(X)の制御ゲート6(X)dについては、負のバイアスを印加しても良い。
 消去は、ビット線コンタクト電極7を接地し、選択トランジスタ11、レイヤー選択トランジスタ10a、10b、10c及び各6(X=1~n)の制御ゲート6(X=1~n)dに負のバイアスを印加することで、メモリストリングスのチャネルとなるシリコン層3a、3b、3cにp型の蓄積領域を生じさせる。
 次いで、消去したいメモリセル、例えば、メモリセル6(2)の制御ゲート6(2)dに大きな負のバイアスを印加して、メモリセル6(2)の電荷蓄積層6(2)bにホールを注入し消去を行う。
 この時、消去したくないメモリセル、例えば、メモリセル6(2)以外の6(X)の制御ゲート6(X)dに正のバイアスを印加しても良い。
 読み出しは、通常のNAND型フラッシュメモリと同様、ソース線コンタクト電極7を接地、ビット線コンタクト電極8に正のバイアスを印加して、読み出したいメモリセル、例えば、メモリセル6(1)以外のメモリセル6(X)の制御ゲート6(X)dに正のバイアスを印加し、電流が流れるか否かで“0”又は“1”の情報として読み出しを行う。
 この場合も同様に、レイヤー選択ゲート10a、10b、10cのバイアス印加の方法により積層されたメモリストリングを選択し、読み出すことができる。
 以上の動作は、ソース線コンタクト電極8とビット線コンタクト電極7の両方に不純物領域17が形成されていない図2の場合も、書き込み及び消去は、本実施形態と同様に行うことができるが、ショットキー障壁によるソース抵抗が、読み出し時に流れる電流を著しく小さくする可能性がある。ちなみに、このようなショットキー障壁は、ドレイン領域側では問題になることはないため、本実施形態では上述の問題は生じない。
 また、本実施形態は、電極8が金属材料で形成されており、電極7が不純物添加シリコンにより形成されていても同様の効果が得られる、その場合、電極7の周りに、不純物添加シリコン17が形成されていなくても良い。
 図16A及び図16Bは、本発明の一実施形態に係る不揮発性半導体記憶装置9における、特に、積層膜6により囲まれたシリコン層3の概略構成図である。
 各シリコン層3a、3b、3cは、積層膜6で囲まれた部分において、それぞれエアーギャップ18a、18b、18cを有する。このエアーギャップ18a、18b、18cは、絶縁膜4a,4b,5に形成される。
 エアーギャップ18a、18b、18cは、積層膜6に囲まれた部分だけではなく、レイヤー選択トランジスタ10、選択トランジスタ11で囲まれた部分に形成されていても良いし、さらには、シリコン層3a,3b,3cの間の全体に広がっていても良い。
 その場合、シリコン層3a,3b,3c間の比誘電率が低くなり、その間を狭くしても同じ絶縁特性が得られる。その結果、シリコン層3a,3b,3c間を狭めることができ、集積度を高めることができる。
 図18A乃至図18Hは、本発明の一実施形態に係る不揮発性半導体記憶装置の製造工程、特に、シリコン層3おけるエアーギャップを含む絶縁層18の一形成工程を示す。
 これらの図は、図1のB-B線に沿う断面に相当する。
 まず、図18Aに示すように、例えば、面方位(100)、比抵抗10~20Ωcmのp型(第1導電型)シリコン基板1を用意し、このシリコン基板1の上に、シリコンゲルマニウム(SiGe)層102を介して、シリコン層3とシリコンゲルマニウム層104とが3a、104a、3b、104b、3cとなるように交互に積層する。
 この時、シリコンとシリコンゲルマニウムは、シリコン基板1と結晶学的方位関係を保ちつつ結晶膜を堆積成長するエピタキシャル成長をさせることができるため、シリコン層3とシリコンゲルマニウム層104は単結晶で形成することができる。この最表面のシリコン層3の上に絶縁膜5を例えば堆積により形成する。この状態を図18Bに示す。
 次に、シリコン基板1上のシリコンゲルマニウム層102、シリコン層3a、シリコンゲルマニウム層104a、シリコン層3b、シリコンゲルマニウム層104b、シリコン層3c、絶縁膜5からなる積層構造を、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図18Cで示すような短冊形状に加工する。
 次に、図18Dに示すように、短冊形状に加工したシリコンゲルマニウム層102、シリコン層3a、シリコンゲルマニウム層104a、シリコン層3b、シリコンゲルマニウム層104b、シリコン層3c、絶縁膜5に対して、例えば、熱酸化を施すことによりシリコン酸化膜106aを形成する。
 この時、シリコンゲルマニウム層102、104上にはシリコンゲルマニウム酸化膜が形成される。このシリコン酸化膜106aは堆積によっても形成が可能である。また、シリコン酸化膜に限らず、各世代の不揮発性半導体記憶装置で必要なトンネル絶縁膜材料を適宜選択して用いることができる。
 次に、図18Eに示すように、電荷蓄積層106b、絶縁膜106c、電極106dを堆積する。
 次に、図18Fに示すように、シリコン酸化膜106a、電荷蓄積層106b、絶縁膜106c、電極106dを例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより、図1で示した、そのそれぞれが電気的に絶縁された積層膜6(X)を形成する。
 次に、図18Gに示すように、例えば等方性エッチングによりシリコンゲルマニウム層102、104のみを選択的に除去する。
 ここで、図18Fにおいて、シリコンゲルマニウム層102、104は、積層膜6(X)により全て囲まれているように示しているが、実際には、積層膜6(X)のそれぞれの間には空隙があり、その空隙からシリコンゲルマニウム層102、104はエッチングされる。
 次いで、図18Hに示すように、例えば、シリコン酸化膜を全体に堆積させ、シリコンゲルマニウム層102、104が除去された領域に、シリコン酸化膜2及びシリコン酸化膜4を形成する。ここで、シリコン酸化膜2及びシリコン酸化膜4はその領域がすべてシリコン酸化膜で形成される必要はなく。その一部、又は全くシリコン酸化膜が形成されないエアーギャップの状態でも良い。
 また、その際、例えば埋め込み性能の悪いシリコン酸化膜を堆積させることで、積層膜6(X)、レイヤー選択トランジスタ10及び選択トランジスタ11で囲まれた空隙は、優先的に埋め込みが起こらず、エアーギャップを含んだ絶縁層18a、18b、18cが形成される。
 この後は図示しないが、例えば、PEPによるパターニングの後、異方性ドライエッチングを施すことにより電極7及び電極8を形成する領域にホールパターンを形成する。
 その後、例えば、タングステンの堆積後、ホールパターン以外のタングステンをCMP処理することで電極7及び電極8が形成され、図16A及び図16Bで示したエアーギャップを含んだ絶縁層18を備えた不揮発性半導体記憶装置9が形成される。
 図17は、本発明の一実施形態に係る不揮発性半導体記憶装置9の概略構成図である。
 シリコン基板1上のシリコン酸化膜層2、シリコン層3a、シリコン酸化膜層4a、シリコン層3b、シリコン酸化膜層4b、シリコン層3c、絶縁膜5の積層構造からなる2本のフィン構造の間に消去用電極19が形成されており、積層膜6(X)からなるメモリセルの消去動作時にはこの消去用電極19にバイアスを印加することによってメモリセルの電荷蓄積層6(X)bにホールを注入し、動作させることができる構造である。
 この時、図16A及び図16Bで示したエアーギャップを含んだ絶縁層18が備わることで、消去用電極19にバイアスを印加した際、消去用電極19から絶縁層18方向へのフリンジ電界が弱まり、それぞれのシリコン層3への電界が集中する結果、消去特性を向上させることができる。
 (変形例) 
 尚、本発明は、上述した各実施形態に限定されるものではなく、本発明の要旨を逸脱しない範囲で、各実施形態の一部を適宜組み合わせて実施することができる。
 また、実施形態の形成方法も限定されるわけではなく、例えば、Si単結晶でチャネルを形成する目的で図18に示したSiGeとSiのエピタキシャル成長による形成方法を用いても良い。
 Si単結晶でチャネルを形成させることでセル電流値を稼ぐことが可能となり、さらに、単結晶シリコン上に熱酸化プロセスによるトンネル膜を形成することができるため、不揮発性半導体記憶装置としての書き込み、保持特性などの特性向上が期待される。
 また、実施形態では基板としてシリコンを用いたが、ゲルマニウム基板など他の基板を用いることも可能である。
 また、シリコン層3における積層膜6(X)からなるメモリセル、選択トランジスタ11、レイヤー選択トランジスタ10a、10b、10cそれぞれの間の拡散層領域については説明していないが、適宜必要な拡散層を形成すれば良い。
 また、例えば電極6(X)dに電圧を印加すると積層膜6(X)直下だけではなく積層膜6(X)間のシリコン層3にも電界(フリンジ電界)がかかり、ゲート間隔(積層膜6(X)間隔)が短く、例えば30nm以下となる場合には、そのフリンジ電界だけでもチャネルに電流パスが通るため(例えば、Chang-Hyum Lee, et al, VLSI Technology Digest of Technical Papers, pp118-119, 2008)、拡散層が形成されていなくても良い。
 その他、本発明の要旨を逸脱しない範囲で、種々変形して実施することができる。
 (効果) 
 本発明によれば、レイヤー選択トランジスタ構造により、ソース線及びビット線が積層ストリングでそれぞれ共通の一本ずつとなり、メモリストリングを積層させたとしても長さの増大は一層ごとにレイヤー選択トランジスタを形成する領域のみとなるため、従来の構造に比べてその長さを短くすることが可能となる。また、配線数及び回路面積も同様に一層ごとにレイヤー選択トランジスタ一本が増加するのに対応する増大量に抑えることが可能となる。
 本発明は、高速ランダム書き込み可能なファイルメモリ、高速ダウンロード可能な携帯端末、高速ダウンロード可能な携帯プレーヤー、放送機器用半導体メモリ、ドライブレコーダ、ホームビデオ、通信用大容量バッファメモリ、防犯カメラ用半導体メモリなどに対して産業上のメリットは多大である。
 1…シリコン(Si)基板(半導体基板)、2…シリコン酸化膜(SiO2)、3(3a、3b、3c)…シリコン層(メモリストリングチャネル領域)、4(4a、4b)…シリコン酸化膜(メモリストリング絶縁領域)、5…シリコン酸化膜、6(X)…積層膜(Xは1~nの自然数)、6(X)a…シリコン酸化膜(メモリセルトンネル絶縁膜)、6(X)b…シリコン窒化膜(Si)(メモリセル電荷蓄積層)、6(X)c…シリコン酸化膜(メモリセルブロック絶縁膜)、6(X)d…ニッケルシリサイド(NiSi)(メモリセルコントロール電極)、7、…タングステン(W)(メモリストリング独立コンタクト)、8…タングステン(メモリストリング共通コンタクト)、9…不揮発性半導体記憶装置、10(10a、10b、10c)…レイヤー選択トランジスタ、11、11b、11c…選択トランジスタ、13(13a、13b、13c)…シリコン層3における不純物添加領域、14…シリコン層、15…シリコン酸化膜、16、16a、16b…不純物添加シリコン酸化膜、17…不純物添加シリコン(拡散層領域)、18(18a、18b、18c)…エアーギャップを含む絶縁層、19…消去用電極、102…シリコンゲルマニウム(SiGe)層、104(104a、104b)…シリコンゲルマニウム層、106a…シリコン酸化膜、106b…シリコン窒化膜、106c…シリコン酸化膜、106d…ニッケルシリサイド。

Claims (10)

  1.  半導体基板と、前記半導体基板の表面に対して垂直な第1方向に積み重ねられ、前記半導体基板の表面に平行な第2方向に延びる第1乃至第nのメモリストリングス(nは2以上の自然数)と、前記第1乃至第nのメモリストリングスのうちの1つを選択する第1乃至第kのレイヤー選択トランジスタ(kは2以上の自然数)とを具備し、
     前記第1乃至第nのメモリストリングスは、前記第1方向に互いに絶縁されて積み重ねられる第1乃至第nの半導体層と、前記第1乃至第nの半導体層の前記第1及び第2方向に垂直な第3方向の側面上に、第1の絶縁膜、電荷蓄積層、第2の絶縁膜及びコントロールゲート電極の順番で、前記第3方向に積み重ねられる第1の積層構造とを有し、
     前記第1乃至第nのメモリストリングスは、前記第1乃至第nの半導体層をチャネルとし、前記第2方向に直列に接続される電気的に書き換え可能な第1乃至第mのメモリセル(mは2以上の自然数)を有し、
     前記コントロールゲート電極は、前記第1乃至第nの半導体層に跨って形成され、
     前記第1乃至第kのレイヤー選択トランジスタは、前記第1乃至第nの半導体層の前記第3方向の側面上に、第3の絶縁膜及び第1のセレクトゲート電極の順番で、前記第3方向に積み重ねられる第2の積層構造を有し、
     前記第1乃至第kのレイヤー選択トランジスタは、前記第1乃至第nの半導体層をチャネルとし、前記第1乃至第mのメモリセルに対して前記第2方向に直列に接続され、
     前記第1のセレクトゲート電極は、前記第1乃至第nの半導体層に跨って形成され、
     前記第1乃至第kのレイヤー選択トランジスタの各々は、前記第1乃至第nの半導体層のうちの少なくとも1つで常時オンの制御不可能状態であり、残りの少なくとも1つの半導体層でオン/オフが可能である制御可能状態であり、
     前記第1乃至第kのレイヤー選択トランジスタの前記第1乃至第nの半導体層での前記制御不可能状態/前記制御可能状態の関係は、互いに異なっている
     ことを特徴とする不揮発性半導体記憶装置。
  2.  前記第1乃至第nの半導体層をチャネルとし、前記第1乃至第kのレイヤー選択トランジスタに対して前記第2方向に直列に接続される追加の選択トランジスタをさらに具備し、
     前記追加の選択トランジスタは、前記第1乃至第nの半導体層の前記第3方向の側面上に、第4の絶縁膜及び第2のセレクトゲート電極の順番で、前記第3方向に積み重ねられる第3の積層構造を有し、
     前記第2のセレクトゲート電極は、前記第1乃至第nの半導体層に跨って形成され、
     前記追加の選択トランジスタは、前記第1乃至第nの半導体層の全てで前記制御可能状態である
     ことを特徴とする請求項1に記載の不揮発性半導体記憶装置。
  3.  前記kは、前記nに等しく、
     前記第1乃至第kのレイヤー選択トランジスタのうち前記第1乃至第nのメモリストリングスに最も近いレイヤー選択トランジスタは、前記第1乃至第nの半導体層のうち最上層の半導体層で前記制御不可能状態であり、
     前記第1乃至第kのレイヤー選択トランジスタのうち前記第1乃至第nのメモリストリングスにi番目(iは、2~n-1のうちの1つ)に近いレイヤー選択トランジスタは、前記第1乃至第nの半導体層のうち最上層からi番目の半導体層で前記制御不可能状態であり、
     前記第1乃至第kのレイヤー選択トランジスタのうち前記第1乃至第nのメモリストリングスから最も遠いレイヤー選択トランジスタは、前記第1乃至第nの半導体層のうち最下層の半導体層で前記制御不可能状態であり、
     前記制御不可能状態は、前記第1乃至第nの半導体層内に形成される不純物領域により実現し、前記第1乃至第nの半導体層は、前記不純物領域を介して前記第1乃至第nの半導体層とは別の半導体層により互いに結合されている
     ことを特徴とする請求項1に記載の不揮発性半導体記憶装置。
  4.  前記第1乃至第nの半導体層をチャネルとし、前記第1乃至第nのレイヤー選択トランジスタに対して前記第2方向に直列に接続される追加の選択トランジスタをさらに具備し、
     前記追加の選択トランジスタは、前記第1乃至第nの半導体層の前記第3方向の側面上に、第4の絶縁膜及び第2のセレクトゲート電極の順番で、前記第3方向に積み重ねられる第3の積層構造を有し、
     前記第2のセレクトゲート電極は、前記第1乃至第nの半導体層に跨って形成され、
     前記追加の選択トランジスタは、前記第1乃至第nの半導体層の全てで前記制御可能状態である
     ことを特徴とする請求項3に記載の不揮発性半導体記憶装置。
  5.  前記第1乃至第nの半導体層は、少なくともエアーギャップを含んだ絶縁層により電気的に絶縁されていることを特徴とする請求項1に記載の不揮発性半導体記憶装置。
  6.  前記第1乃至第nの半導体層は、少なくともエアーギャップを含んだ絶縁層により電気的に絶縁されていることを特徴とする請求項3に記載の不揮発性半導体記憶装置。
  7.  前記第1乃至第nの半導体層の前記第3方向の2つの側面のうち前記第1及び第2の積層構造を有する側面とは反対側の側面上に電極をさらに具備することを特徴とする請求項5に記載の不揮発性半導体記憶装置。
  8.  前記第1乃至第nの半導体層の前記第3方向の2つの側面のうち前記第1及び第2の積層構造を有する側面とは反対側の側面上に電極をさらに具備することを特徴とする請求項6に記載の不揮発性半導体記憶装置。
  9.  前記第1乃至第nの半導体層の前記第2方向の一端に設けられ、前記第1乃至第nの半導体層を貫通する金属電極と、前記金属電極の周りの前記第1乃至第nの半導体層内に形成される拡散層とをさらに具備することを特徴とする請求項1に記載の不揮発性半導体記憶装置。
  10.  前記第1乃至第nの半導体層の前記第2方向の一端に設けられ、前記第1乃至第nの半導体層を貫通する金属電極と、前記金属電極の周りの前記第1乃至第nの半導体層内に形成される拡散層とをさらに具備することを特徴とする請求項3に記載の不揮発性半導体記憶装置。
PCT/JP2009/060803 2009-06-12 2009-06-12 不揮発性半導体記憶装置 WO2010143306A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2011518196A JP5456036B2 (ja) 2009-06-12 2009-06-12 不揮発性半導体記憶装置
PCT/JP2009/060803 WO2010143306A1 (ja) 2009-06-12 2009-06-12 不揮発性半導体記憶装置
US13/316,603 US8779502B2 (en) 2009-06-12 2011-12-12 Nonvolatile semiconductor memory

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2009/060803 WO2010143306A1 (ja) 2009-06-12 2009-06-12 不揮発性半導体記憶装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/316,603 Continuation US8779502B2 (en) 2009-06-12 2011-12-12 Nonvolatile semiconductor memory

Publications (1)

Publication Number Publication Date
WO2010143306A1 true WO2010143306A1 (ja) 2010-12-16

Family

ID=43308574

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/060803 WO2010143306A1 (ja) 2009-06-12 2009-06-12 不揮発性半導体記憶装置

Country Status (3)

Country Link
US (1) US8779502B2 (ja)
JP (1) JP5456036B2 (ja)
WO (1) WO2010143306A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012234885A (ja) * 2011-04-28 2012-11-29 Toshiba Corp 半導体装置及びその製造方法
US8435857B2 (en) 2011-01-17 2013-05-07 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory device
JP2013115260A (ja) * 2011-11-29 2013-06-10 Toshiba Corp 半導体装置及びその製造方法
JP2013143536A (ja) * 2012-01-12 2013-07-22 Toshiba Corp 半導体装置及びその製造方法
US8569829B2 (en) 2009-12-28 2013-10-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
WO2015033417A1 (ja) * 2013-09-05 2015-03-12 株式会社 東芝 半導体記憶装置及びデータ書き込み方法
WO2015037159A1 (ja) * 2013-09-13 2015-03-19 株式会社 東芝 半導体記憶装置及びメモリシステム
JP2016015193A (ja) * 2012-01-30 2016-01-28 群聯電子股▲ふん▼有限公司 Nandフラッシュメモリユニットの動作方法
US9305936B2 (en) 2013-07-03 2016-04-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9564450B2 (en) 2010-09-21 2017-02-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9768380B2 (en) 2011-07-15 2017-09-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011114502A1 (ja) * 2010-03-19 2011-09-22 株式会社 東芝 不揮発性半導体記憶装置及びその製造方法
WO2011114503A1 (ja) 2010-03-19 2011-09-22 株式会社 東芝 不揮発性半導体記憶装置及びその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140048867A1 (en) * 2012-08-20 2014-02-20 Globalfoundries Singapore Pte. Ltd. Multi-time programmable memory
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2014179530A (ja) * 2013-03-15 2014-09-25 Toshiba Corp 不揮発性半導体記憶装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015167200A (ja) 2014-03-04 2015-09-24 株式会社東芝 不揮発性半導体記憶装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101536530B1 (ko) * 2014-07-15 2015-07-15 한양대학교 산학협력단 핀 전계 효과 트랜지스터
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9252153B1 (en) * 2014-09-22 2016-02-02 Macronix International Co., Ltd. Method of word-line formation by semi-damascene process with thin protective conductor layer
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP2017054941A (ja) * 2015-09-10 2017-03-16 株式会社東芝 半導体装置及びその製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9589970B1 (en) * 2016-08-02 2017-03-07 United Microelectronics Corp. Antifuse one-time programmable memory
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) * 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11678492B2 (en) * 2020-06-18 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, semiconductor device and manufacturing method of the memory device
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004349702A (ja) * 2003-05-20 2004-12-09 Sharp Corp シリコン・オン・ナッシング製造プロセス
JP2006155750A (ja) * 2004-11-29 2006-06-15 Sony Corp 半導体記憶装置
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
JP2008166759A (ja) * 2006-12-28 2008-07-17 Samsung Electronics Co Ltd 不揮発性メモリ素子及びその製造方法
JP2009124107A (ja) * 2007-11-12 2009-06-04 Samsung Electronics Co Ltd 不揮発性メモリ装置及びその動作方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403356B2 (ja) 2002-10-29 2010-01-27 ソニー株式会社 半導体メモリ及びその製造方法
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP4300228B2 (ja) 2006-08-28 2009-07-22 株式会社東芝 不揮発性半導体記憶装置
US8779495B2 (en) 2007-04-19 2014-07-15 Qimonda Ag Stacked SONOS memory
US7915667B2 (en) * 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004349702A (ja) * 2003-05-20 2004-12-09 Sharp Corp シリコン・オン・ナッシング製造プロセス
JP2006155750A (ja) * 2004-11-29 2006-06-15 Sony Corp 半導体記憶装置
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
JP2008166759A (ja) * 2006-12-28 2008-07-17 Samsung Electronics Co Ltd 不揮発性メモリ素子及びその製造方法
JP2009124107A (ja) * 2007-11-12 2009-06-04 Samsung Electronics Co Ltd 不揮発性メモリ装置及びその動作方法

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8569829B2 (en) 2009-12-28 2013-10-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US9564450B2 (en) 2010-09-21 2017-02-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9905571B2 (en) 2010-09-21 2018-02-27 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US8435857B2 (en) 2011-01-17 2013-05-07 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory device
JP2012234885A (ja) * 2011-04-28 2012-11-29 Toshiba Corp 半導体装置及びその製造方法
US9768380B2 (en) 2011-07-15 2017-09-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2013115260A (ja) * 2011-11-29 2013-06-10 Toshiba Corp 半導体装置及びその製造方法
US8994087B2 (en) 2012-01-12 2015-03-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2013143536A (ja) * 2012-01-12 2013-07-22 Toshiba Corp 半導体装置及びその製造方法
JP2016015193A (ja) * 2012-01-30 2016-01-28 群聯電子股▲ふん▼有限公司 Nandフラッシュメモリユニットの動作方法
US9305936B2 (en) 2013-07-03 2016-04-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
WO2015033417A1 (ja) * 2013-09-05 2015-03-12 株式会社 東芝 半導体記憶装置及びデータ書き込み方法
CN105518794A (zh) * 2013-09-05 2016-04-20 株式会社东芝 半导体存储装置和数据写入方法
CN105518795A (zh) * 2013-09-13 2016-04-20 株式会社东芝 半导体存储装置以及存储系统
US9704570B2 (en) 2013-09-13 2017-07-11 Kabushiki Kaisha Toshiba Semiconductor memory device and memory system
WO2015037159A1 (ja) * 2013-09-13 2015-03-19 株式会社 東芝 半導体記憶装置及びメモリシステム
US9911499B2 (en) 2013-09-13 2018-03-06 Toshiba Memory Corporation Semiconductor memory device and memory system
CN105518795B (zh) * 2013-09-13 2019-08-13 东芝存储器株式会社 半导体存储装置以及存储系统

Also Published As

Publication number Publication date
US8779502B2 (en) 2014-07-15
US20120139030A1 (en) 2012-06-07
JP5456036B2 (ja) 2014-03-26
JPWO2010143306A1 (ja) 2012-11-22

Similar Documents

Publication Publication Date Title
JP5456036B2 (ja) 不揮発性半導体記憶装置
US8710485B2 (en) Semiconductor device and method of manufacturing the same
US8896054B2 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
CN100433363C (zh) 具有三个电气隔离的电极的晶体管及形成方法
JP4521597B2 (ja) 半導体記憶装置およびその製造方法
TWI726125B (zh) 半導體裝置及其製造方法
US9087715B2 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
US7560757B2 (en) Semiconductor device with a structure suitable for miniaturization
WO2011114503A1 (ja) 不揮発性半導体記憶装置及びその製造方法
US11101281B2 (en) Semiconductor device and method of manufacturing the same
KR100518588B1 (ko) 더블 플로팅 게이트 구조를 가지는 스플릿 게이트형비휘발성 반도체 메모리 소자 및 그 제조 방법
CN107464815A (zh) 半导体器件及其制造方法
US7847333B2 (en) Structured, electrically-formed floating gate for flash memories
US10644017B2 (en) Semiconductor device and manufacturing method therefor
US20200035693A1 (en) Semiconductor device and method of manufacturing the same
CN111640789A (zh) 半导体器件及其制造方法
KR100981476B1 (ko) 반도체 장치 및 그 제조 방법
CN109494225B (zh) 半导体器件及其制造方法
KR100618877B1 (ko) 멀티비트 비휘발성 메모리 소자, 그 동작 방법 및 그 제조방법
US20070205440A1 (en) Semiconductor device and method for producing the same
JP5351274B2 (ja) 不揮発性半導体記憶装置
TW202238735A (zh) 半導體器件及其形成方法
CN117119789A (zh) 半导体存储器装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09845831

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2011518196

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09845831

Country of ref document: EP

Kind code of ref document: A1