CN100433363C - 具有三个电气隔离的电极的晶体管及形成方法 - Google Patents

具有三个电气隔离的电极的晶体管及形成方法 Download PDF

Info

Publication number
CN100433363C
CN100433363C CNB2004800297385A CN200480029738A CN100433363C CN 100433363 C CN100433363 C CN 100433363C CN B2004800297385 A CNB2004800297385 A CN B2004800297385A CN 200480029738 A CN200480029738 A CN 200480029738A CN 100433363 C CN100433363 C CN 100433363C
Authority
CN
China
Prior art keywords
grid structure
grid
transistor
layer
charge storage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800297385A
Other languages
English (en)
Other versions
CN1868067A (zh
Inventor
莱奥·马修
拉马钱德兰·穆拉利德哈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of CN1868067A publication Critical patent/CN1868067A/zh
Application granted granted Critical
Publication of CN100433363C publication Critical patent/CN100433363C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42332Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/36DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being a FinFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Abstract

形成了一种晶体管(10),其具有三个分离可控的栅极(44、42、18)。这三个栅极区域可进行不同的电气偏置,并且该栅极区域可以具有不同的传导属性。沟道侧壁上的介质可以不同于沟道上面的介质。选择性地实现针对源极、漏极和三个栅极的电接触。通过包括与晶体管沟道相邻的电荷存储层,诸如纳米团簇(143、144),并且经由三个栅极区域控制该电荷存储层,使用相同的工艺实现了易失和非易失存储器单元,产生了通用的存储器工艺。在被实现作为易失单元时,晶体管的高度和沟道侧壁的介质的属性控制存储保持特性。在被实现作为非易失单元时,晶体管的宽度和上面的沟道介质的特性控制存储保持特性。

Description

具有三个电气隔离的电极的晶体管及形成方法
技术领域
本发明涉及半导体,更具体地,涉及用于存储器的晶体管。
背景技术
随着晶体管的几何尺寸引人注目地减小到亚微米的尺寸,由于较小尺寸所产生的对器件物理的影响,迫使晶体管的结构改变。特别地,晶体管的沟道变得非常窄。由于小的沟道长度,晶体管的漏极开始不利地控制沟道中的电流传导,而非由栅极作为控制机制。该问题已得到良好的证明,并且通常被称为短沟道效应。为了减小短沟道效应的问题,其他人提出了这样的晶体管结构,其中将栅极安置在沟道的反面。尽管该方法引人注目地减小了短沟道效应问题,但是由于使反面安置的栅完全对准对于批量生产而言是难于实现的,因此批量制造该结构的能力是成问题的。作为替换方案,提出了一种晶体管结构,其具有由栅极围绕的垂直的硅沟道,以减小短沟道效应。该晶体管被称为数个不同的名称,包括FINFET和双栅晶体管。尽管FINFET晶体管的某些实现方案具有单一的栅极,但是其它的实现方案使用了两个电气隔离的栅极,用于改善包括晶体管阈值电压控制的性能。为了使沟道周围的两个栅极电气隔离,使用了化学机械抛光(CMP)或抛光步骤。由于这些晶体管的窄的鳍式结构,抛光步骤趋于引起不均匀的抛光或者晶体管器件的“凹陷”。
减小的晶体管结构还带来了集成非易失(例如,只读存储器和闪存Flash)和易失(DRAM和SRAM)存储器阵列的能力,用于片上系统(SOC)应用。典型地,需要利用不同的工艺实现的不同的晶体管结构,用于实现非易失和易失存储器阵列。例如,Flash存储器晶体管是利用浮栅结构实现的,其位于沟道和控制栅极之间。相反地,DRAM存储器晶体管是利用平面晶体管实现的,其控制深槽电容器。该平面晶体管使用单一平面的沟道,其使源极和漏极分离,并且由上面的栅极控制。由于必须实现不同的工艺和结构,因此对在单一的集成电路上实现易失和非易失存储器阵列的需要,增加了相当大的成本。此外,由于所需要的不同的晶体管结构,相同的集成电路上的晶体管的工作特性可能明显不同。
附图说明
将借助于示例描述本发明,但是其不限于附图,在附图中相似的参考符号表示相似的元件,并且其中:
图1~4以剖面图的形式说明了根据本发明的第一形式的场效应晶体管;
图5以透视图的形式说明了图4的场效应晶体管;
图6以剖面图的形式说明了具有电接触的图4的场效应晶体管;
图7~11以剖面图的形式说明了使用第二形式的场效应晶体管的易失存储器晶体管和非易失存储器晶体管的存储器应用;
图12以顶部平面图的形式说明了图11的易失存储器晶体管和非易失存储器晶体管;
图13以剖面图的形式说明了具有电接触的图11的易失存储器晶体管和非易失存储器晶体管;并且
图14说明了通过使用图11的易失存储器晶体管和非易失存储器晶体管实现不同类型的存储器阵列的集成电路的平面图。
本领域的技术人员应认识到,图中的元件被说明用于简化和清晰的目的,并且不必依比例绘制。例如,图中某些元件的尺寸可以相对于其它的元件放大,以协助理解本发明的实施例。
具体实施方式
图1中说明的是场效应晶体管10的制造阶段中的半导体晶片12的剖面图,该场效应晶体管10具有三个电气隔离的栅极结构。半导体晶片12包括基板15,其是通过多种半导体材料中的任何材料实现的,诸如SOI晶片,或者通过任何机械基板实现,诸如玻璃或蓝宝石基板。在基板15上面是绝缘层13。绝缘层13可以通过任何氧化物或任何氮化物或蓝宝石实现。在绝缘层13上面是构图的鳍式半导体结构,其形成了FinFET(鳍式场效应晶体管)的沟道14,其是硅(多晶硅、晶体硅、各向异性硅、SiGe、锗、或任何这些材料的组合)。在沟道14上面是氧化物16。在氧化物16上面是第三栅极18(第一和第二栅极在下面标记)。在一个形式中,第三栅极18是多晶硅。在另一形式中,第三栅极18可以是使用传统的注入工艺的掺杂材料。在第三栅极18上面是氧化物层20。在一个形式中,氧化物20是二氧化硅。在氧化物层20上面是氮化物层22。在一个形式中,氮化物层22是氮化硅。为了形成所说明的场效应晶体管10的结构,沟道14、氧化物16、第三栅极18、氧化物层20和氮化物层22中的每一个,通过指定材料的层的热生长或者层的淀积形成。传统地通过刻蚀层对该层构图,以产生场效应晶体管10的结构。结果沟道14、氧化物16、第三栅极18和氮化物层22具有暴露的侧壁。
图2说明的是图1的场效应晶体管10的进一步的处理。在刻蚀之后执行牺牲氧化物清洗步骤。沟道14具有侧壁,其在图2的剖面图中被说明为是相对的。在沟道14的侧壁(在沟道14的相对侧面上的图2中的第一和第二侧壁)上形成了氧化物层26,并且在第三栅极18的侧壁上形成了氧化物层28。应当理解,氧化物层26实际上是围绕沟道14的连续材料层,并且因此未将不同的参考数字分配给左侧和右侧。氧化物层26和氧化物层28可以以传统的方式热生长或淀积。氧化物层26被提供用作栅介质,而氧化物层28被提供用作用于阻碍第三栅极18同其它表面接触的隔离。应当理解,其它的材料也适于用作氧化物层26和氧化物层28。例如,氧氮化物或任何高介电常数的材料,例如,氧化铪,或者这些材料的组合等,可以用作用于每个氧化物层26和氧化物层28的材料。
图3中说明的是图2的场效应晶体管10的进一步的处理。在现有结构周围形成了共形多晶硅层30。在一个形式中,多晶硅层30是淀积的。可以实现任选的多晶硅层30的注入。该任选的注入可以采用数种形式中的一种。该注入可以采用多种注入材料,其具有相同的或不同的物质(即,N型和P型),诸如硼、磷或砷等。注入物质的剂量、方向和能量可以改变,以针对沟道14的左侧和右侧,定义多晶硅层30中的区域的传导率。如果多晶硅层30的掺杂类型不同于第一栅极18的掺杂,则允许控制沟道阈值电压,如同非对称双栅晶体管。在其它形式中,多晶硅层30可以通过其它的材料实现,诸如锗硅、氮化钛、氮化钽硅或硅化物或者这些材料的组合。在多晶硅层30上面是抗反射涂层(ARC)层32。在一个形式中,ARC层32是氮化物。应当理解,ARC层32是任选的层。ARC层32对于现有的结构是共形的,并且是淀积的。将旋涂光刻胶层34淀积到场效应晶体管10上,其高度为,初始大于氮化物层22的上表面的高度,并且随后被回刻,以暴露一部分ARC层32。该刻蚀可以是各向同性或各向异性刻蚀。旋涂光刻胶层34使FinFET的鳍式区域上面的氮化物ARC层32暴露,同时覆盖ARC层32的其它部分。其它的旋涂材料,诸如旋涂玻璃,可用于旋涂光刻胶层34。可替换地,可以使用传统的旋涂或淀积技术使旋涂光刻胶层34形成为所需的高度。
图4中说明的是图3的场效应晶体管10的进一步的处理。在图4中,场效应晶体管10被刻蚀,以移除ARC层32的暴露部分和一部分多晶硅层30。该刻蚀导致了第一栅极44和第二栅极42的形成。该刻蚀可以停止于不同的点。在另一形式中,使用CMP抛光步骤,并且该抛光导致了第一栅极44的上表面处于边缘52。在执行刻蚀时,可以使第一栅极44和第二栅极42的上表面位于不同的位置,诸如位于边缘52,或者进一步往下,诸如位于边缘55。第一栅极44和第二栅极42的上表面的位置确定了在第三栅极18和每个第一栅极44和第二栅极42之间存在多大的容性耦合。因此,在某些应用中,通常较理想的是,当第一栅极44和第二栅极42的上表面低于第三栅极18的下表面时停止刻蚀。在其它应用中,理想的是在第三栅极和每个第一栅极和第二栅极之间具有一定量的容性耦合。因此,该刻蚀在第一栅极44和第二栅极42的尺寸控制中,提供了相当大的灵活度。使用传统的湿法刻蚀步骤,移除旋涂光刻胶层34和氮化物ARC层32。此外,可以通过传统的湿法刻蚀步骤,移除氮化物ARC层22。而且,应当注意,当适当的材料用于旋涂光刻胶层34,并且氮化物用于ARC层32和氮化物层22,用于实现同其的电接触时,可以使这些层保留在适当的位置,而非将其刻蚀掉。例如,如果旋涂光刻胶层34被实现作为旋涂介质,并且每个第一栅极,第二栅极和第三栅极是硅化物或金属时,不需要将旋涂光刻胶层34、ARC层32和氮化物层22刻蚀掉。
图5中说明的是图4的场效应晶体管10的透视图。使用传统的光刻方法对第一栅极44、第二栅极42、第三栅极18、氮化物层22和氧化物层20进行光刻构图和刻蚀。该构图定义了关于图5中说明的第一栅极44、第二栅极42和第三栅极18的栅长度。使用光刻胶作为掩膜,移除部分多晶硅层30、氮化物层22、氧化物层20和第三栅极18。氧化物层26和栅氧化物16在该光刻构图的刻蚀过程中用作刻蚀阻挡层。该处理使这样的区域暴露,即其中通过传统的掺杂步骤,诸如注入,形成了源极区域70和漏极区域72。可以实现另外的处理。例如,可以形成侧壁隔层(未示出),其同每个第一栅极44、第二栅极42和第三栅极18相邻。而且,可以实现暴露的硅半导体表面的硅化,以减少硅表面的电阻率。如果这样操作,则该硅化将在第一栅极44、第二栅极42、第三栅极18、源极区域70和漏极区域72的暴露部分的上表面处形成硅化层。应当注意,可以改变此处描述的工艺步骤的顺序。例如,用于形成第一栅极44和第二栅极42的刻蚀(或者可替换的抛光)可以在上文描述的形成隔层(未示出)或硅化之后实现。
图6中说明的是场效应晶体管10的进一步的处理,其中通过淀积诸如氧化物、氮化物、低介电常数的介质或者这些材料的组合的层,形成了夹层介质(ILD)66。ILD 66中的接触孔是光刻定义和刻蚀的。该接触孔可以针对全部三个第一栅极44、第二栅极42和第三栅极18,或者仅针对这三个栅极中的所选择的栅极。当形成接触孔时,金属接触64在通过上文所述的硅化步骤产生的硅化物区域63处连接第一栅极44。相似地,金属接触58在硅化物区域65处连接第二栅极42,并且金属接触62在硅化物区域61处连接第三栅极18。任何金属可用于金属接触58、62和64,诸如钨或氮化钛或者其它金属。金属接触64连接到第一偏置电压VBIAS1。金属接触58连接到第二偏置电压VBIAS2。金属接触62连接到第三偏置电压VBIAS3。这三个偏置电压可以是相同的电压或者可以是不同的电压或者仅有两个偏置电压是相同的。
晶体硅被形成为具有三个电气隔离的栅极,第一栅极44、第二栅极42和第三栅极18。所有这三个栅极可以独立地控制沟道14。每个金属接触58、62和64可以分离地偏置有不同的电压电位,以控制诸如阈值电压、“开启”电流和“截止”电流的特性。此外,通过改变所选择的用于注入到第一栅极44、第二栅极42和第三栅极18的掺杂浓度,可以改变这三个电气隔离的栅极的每一个的掺杂浓度。该掺杂浓度变化和类型确定了场效应晶体管10的阈值电压特性。
图7中说明的是具有多个电气隔离的栅极的场效应晶体管的另一形式,其额外地具有存储器存储能力。特别地,在晶片101上提供了非易失区域104和易失区域106,并且其分别由晶体管105和晶体管103表示。使用光刻和刻蚀定义晶体管105和103的宽度。应当注意,非易失区域104中的晶体管的宽度大于易失区域106中的晶体管的宽度。还应当注意,形成晶体管105和103的晶体管叠层的高度是相同的,这是因为它们是由相同的层形成的。在所说明的形式中,非易失区域104和易失区域106被安置在晶片101的不同的区域中,其由两个区域之间的破隙表示。向基板107提供上面的绝缘层109。沟道113位于绝缘层109上面。电荷存储结构由沟道113上的介质层115、介质层115上的电荷存储层118和控制栅介质119形成。在一个形式中,每个介质层115和控制栅介质119是氧化物,并且是热生长的。在另一形式中,介质层115是氧氮化物层或者CVD形成的氧化物。通过使用纳米团簇层,形成了电荷存储层118。在一个形式中,由硅纳米晶体实现纳米团簇。在另一形式中,由电荷俘获氮化物材料层实现纳米团簇。在另一形式中,使用这些材料的组合形成纳米团簇。还可以使用其它的电荷存储材料。在电荷存储结构上面是第三栅极123,其在控制栅介质119上面。在控制栅介质119上面是衬垫氧化物层127和氮化物层131。
在非易失区域106中,沟道111在绝缘层109上面。电荷存储结构由沟道111上的介质层117、介质层117上的电荷存储层120和控制栅介质121形成。在一个形式中,每个介质层117和控制栅介质121是氧化物,并且是热生长的。在另一形式中,介质层117是氧氮化物层或者CVD形成的氧化物。通过使用纳米团簇层,形成了电荷存储层120。在一个形式中,由硅纳米晶体实现纳米团簇。在另一形式中,由电荷俘获氮化物材料层实现纳米团簇。在另一形式中,使用这些材料的组合形成纳米团簇。还可以使用其它的电荷存储材料。在电荷存储结构上面是第三栅极125,其在控制栅介质121上面。在控制栅介质121上面是衬垫氧化物层129和介质层133,其在一个形式中是氮化物。
图8中说明的是图7的场效应晶体管的进一步的处理。分别在沟道113和第三栅极123的侧壁上形成介质层135和139。相似地,分别在沟道111和第一栅极125的侧壁上形成介质层137和介质层141。使用传统的CVD方法,在全部的暴露表面上形成纳米团簇层143。如上文所提及的,纳米团簇143可以是多种不同电荷存储材料中的任何材料。在纳米团簇143上面是介质层145。该介质层145可以是淀积或生长的,并且在一个形式中,其是氮化物层、氧化物层或氧氮化物层中的一个。
图9中说明的是图7的场效应晶体管的进一步的处理。使用任选的各向异性刻蚀,从该结构的暴露的水平表面上,并且部分地在同晶体管叠层的边缘相邻的垂直方向中,刻蚀掉纳米团簇143的区域。尽管图9说明了,沿晶体管叠层的侧壁,直到低于第三栅极123和125的点,刻蚀纳米团簇143,但是自侧壁刻蚀的纳米团簇量可以从沿氮化物层131的任何点变化到沿沟道113的侧壁的任何点。该刻蚀分别为晶体管105和103产生了分离的介质层145和介质层146。相似地,分别为晶体管105和103产生了分离的纳米团簇143和144。此外,未发生纳米团簇143的刻蚀,并且未实现图9的处理。
图10中说明的是图7的晶体管的进一步的处理。在晶体管105和103上进行栅极层147的共形淀积。栅极层147可以是多晶硅、锗硅、金属或其组合。在栅极层147上面是氮化物层149。可使用其它的介质替换氮化物。该氮化物层149用作ARC层。此时,可以使用光刻方法在晶片101上定义栅极材料的预定区域,随后将在其中安置第一栅极、第二栅极和第三栅极。此时,在不存在保护氮化物层149的栅极构图的区域中,可以执行氮化物层149、栅极层147、氮化物层131、氧化物层127、第三栅极123和电荷存储结构(控制栅介质119、电荷存储层118和介质层115)的移除。将旋涂光刻胶层151淀积到场效应晶体管105和103上,其高度为,初始大于氮化物层149的上表面的高度,随后被回刻蚀以暴露一部分氮化物层149。该刻蚀可以是各向同性或各向异性刻蚀。旋涂光刻胶层151使FinFET的鳍式区域上面的氮化物层149暴露,同时覆盖氮化物层149的其它部分。其它的旋涂材料,诸如旋涂玻璃,可用于旋涂光刻胶层151。
在图11中,场效应晶体管105和103被刻蚀,以移除氮化物层149的暴露部分和一部分栅极层147。该刻蚀导致了晶体管105的第一栅极153和第二栅极155的形成,并且导致了晶体管103的第一栅极157和第二栅极159的形成。再次地,该刻蚀可以停止于除了图11中说明的特定的点以外的不同的点。以传统的方式移除剩余的旋涂光刻胶层151和氮化物层149。然而,应当注意,如果适当的材料,诸如旋涂玻璃,用于旋涂光刻胶层151,则可以将旋涂光刻胶层151、氮化物层149和氮化物层131保留在适当的位置。在另一形式中,可以通过与移除氮化物层149的步骤相同的步骤,移除氮化物层131。由于非易失区域104的晶体管105具有与易失区域106的晶体管103相同的垂直尺寸,因此除了前面提及的栅极宽度的差异以外,晶体管105和晶体管103的外形是相同的。
图12中说明了图11表示的目前状态下的每个晶体管103和晶体管105的顶部平面图。栅极接触区域173位于晶体管105的氮化物层131上。栅极接触区域175和栅极接触区域177分别位于晶体管103的第一栅极157和第二栅极159上面。源极接触区域179位于晶体管105的源极扩散区域上面,并且漏极接触区域181位于晶体管105的漏极扩散区域上面。相似地,源极接触区域185位于晶体管103的源极扩散区域上面,并且漏极接触区域183位于晶体管103的漏极扩散区域上面。如由顶部示图所看到的,易失区域106的晶体管103的沟道宽度典型地小于非易失区域104的晶体管105的沟道宽度,但是没有必要必须比其小。形成非易失存储晶体管的晶体管105的宽度主要由所需用于实现同第三栅极123的电接触的面积量定义。晶体管105的宽度还依赖于用于使晶体管105是非易失的电荷存储层118中所需的电荷存储面积量。换言之,晶体管105的宽度需要是足够大的,用于在从第三栅极123移除偏置电压时,使电荷存储层118保留其电荷。相反地,由于存储特性由沟道111的侧壁中的电荷存储元144定义,而非由电荷存储层120的宽度定义,因此晶体管103可以具有较窄的宽度。此外,不必在顶部的第三栅极125处实现针对晶体管103的电接触。因此,晶体管103的高度以及介质层137和介质层146的电学特性,控制晶体管103的存储保持特性,而晶体管105的宽度以及控制栅介质119和介质层115的电学特性控制晶体管105的存储保持特性。任选地,可以存在额外的针对每个第一栅极153、第二栅极155和第三栅极123的接触(未示出)。该接触可用于实现沟道113和114、电荷存储层的纳米团簇层143和电荷存储元件的纳米团簇层144、或者电荷存储层118和电荷存储层120的额外的偏置。
图13中说明的是晶体管105和103的截面图,其中形成了针对预定的晶体管栅极的硅化接触过孔。针对非易失区域104中的每个晶体管,实现了单一的栅极接触。所接触的用于非易失区域104中的每个晶体管的栅极,是上面的栅极或者顶部栅极,其位于沟道上面。针对易失区域106中的每个晶体管,实现了两个栅极接触。所接触的用于易失区域106中的每个晶体管的栅极,同晶体管的侧壁相邻。在通过接触173、175和177实现接触的情况中,分别形成了下面的硅化物区域165、167和171。通过编程(即,写),即利用针对沟道上面的栅极的单一的电压,使非易失区域104中的每个晶体管偏置,并且使沟道是足够宽的,以使电荷保持在纳米团簇层143中,晶体管105用作非易失存储器存储元件。相似地,在同沟道111的侧壁相邻的两个栅极处使晶体管103偏置时,晶体管103的纳米团簇层144被充电,并且在第一栅极157或第二栅极159处刷新功率或维持功率时保持电荷。
图14中说明的是集成电路180,其具有两个不同类型的存储器,其是使用同用于实现如非易失区域104中的晶体管105的晶体管、区域105中的如图1~6中体现的晶体管10的晶体管(其具有含有三栅晶体管的电路)、和如易失区域106中的晶体管103的晶体管的处理相同的处理实现的。尽管动态存储器,诸如DRAM被认为是关于易失区域106的存储器件的类型,但是还可以实现其它类型的易失存储器阵列,诸如FLASH存储器阵列。使用三栅晶体管,可以在区域105中实现任何类型的电路,包括逻辑电路、模拟电路和数字电路。在集成电路180中可以包括任何其它的多种电路模块(未示出),其使用此处描述的一个或全部三个类型的晶体管功能(NVM三栅晶体管、易失存储器三栅晶体管和非存储三栅晶体管结构)。应当认识到,可以实现仅使用图14中说明的三个电路类别中的一个或两个的集成电路。
到目前为止,应当认识到,提供了一种晶体管结构,其具有三个独立的栅极。在一个形式中,该晶体管可被设置为提供通用存储器架构,其中可以使用相同的半导体工艺,在相同的集成电路上实现非易失和易失存储单元。此处描述的晶体管结构的多样性显著地减少了同在相同芯片上制造诸如Flash或具有ROM的DRAM或RAM的存储器相关联的成本。传统地,需要在集成电路上实现使用不同的处理步骤制造的不同的存储器模块。通过具有三个独立的栅极,该晶体管用于提供三个不同的沟道电气调制的源。通过增加的沟道电流控制,可以更加准确地控制晶体管的阈值电压(即,通过改变栅极的偏置组合,可以动态地提高或降低阈值电压)。依赖于用于使沟道同三个栅极接合的栅介质的尺寸和类型,并且依赖于栅极的尺寸和掺杂以及栅极的材料构成,还可以设置晶体管阈值电压。可以通过传统的注入或现场掺杂,对第三栅极进行掺杂。可以通过有角度注入向第一和第二栅极注入相同或不同的物质。第一和第二栅极还可以进行原位掺杂,以获得相同的传导率。
通过使用一种类型的存储器存储物质用于电荷存储层118和不同类型的存储器存储物质用于电荷存储层143,可以产生不同的读和写存储器机制。特别地,通过使用上面的第三栅极,使用热载流子注入(HCI),可以对晶体管105编程(即,写),并且通过源极和漏极之间的载流子传导,通过隧穿或热空穴载流子,可以擦除晶体管105。通过使用第一栅极157和第二栅极159,使用隧穿或热沟道编程,可以对晶体管103编程。通过使用源自三个栅极的任何栅极的隧穿或者通过适当的偏置源漏,可以擦除晶体管103。
在前面的说明书中,通过参考具体的实施例描述了本发明。然而,本领域的普通技术人员应认识到,在不偏离如附属权利要求所阐述的本发明的范围的前提下,可以进行多种修改和变化。例如,在上文所述的刻蚀和清洗步骤之后,可以使氮化物层22下面的沟道14和第三栅极18在侧壁边缘处凹陷。在形成图8中的电荷存储层143之后,可以遮盖一部分晶片101,并且可以从那些未被遮盖的晶片101的区域中,移除电荷存储层143和介质层145。这些区域可以用作不具有周界(侧面和顶面)上的存储位置的晶体管。此外,可以实现晶体管103的第三栅极叠层结构的刻蚀,以移除电荷存储层143、介质层145、介质层133、氧化物层129、第三栅极125、介质层141、控制栅介质121和电荷存储层120。得到的结构是具有多面沟道的晶体管。而且,三个栅极区域可以具有不同的材料属性,其中某些栅极区域是多晶硅,而其它的栅极区域是金属。
在一个形式中,此处提供了一种制造半导体器件的方法。形成了半导体结构,其中该半导体结构包括顶表面、第一侧壁和同第一侧壁相对的第二侧壁。形成了第一栅极结构和第二栅极结构,其中第一栅极结构被安置为同第一侧壁相邻,并且第二栅极结构被安置为同第二侧壁相邻。在顶表面上安置第三栅极结构,其中第一栅极结构、第二栅极结构和第三栅极结构在物理上相互分离。通过在第三栅极结构和基板上淀积栅极材料层,并且移除第三栅极结构上面的栅极材料层部分以形成第一栅极结构和第二栅极结构,形成了第一栅极结构和第二栅极结构。在另一形式中,通过非研磨刻蚀半导体结构的顶表面上的栅极材料层,形成了第一栅极结构和第二栅极结构。在基板上面形成了基本上平坦的层,其低于栅极材料层的顶表面的高度。该基本上平坦的层用作掩膜层,用于形成第一栅极结构和第二栅极结构。在另一形式中,通过单一的构图步骤形成了第三栅极结构和半导体结构。通过单一的构图步骤,连同第三栅极结构上面的至少两个另外的层,对使半导体结构和第三栅极结构分离的第一介质材料构图。形成了第一源极/漏极区域和第二源极/漏极区域,其自半导体结构开始在垂直于第一栅极结构和第二栅极结构的侧面的半导体结构的相反侧面上延伸,其中形成第一源极/漏极区域和第二源极/漏极区域进一步包括,在对应于第一源极/漏极区域和第二源极/漏极区域的位置对集成电路进行掺杂。通过对第一栅极结构、第二栅极结构和第三栅极结构构图,以暴露第一源极/漏极区域和第二源极/漏极区域,形成了第一源极/漏极区域和第二源极/漏极区域。在形成第一源极/漏极区域和第二源极/漏极区域之后,通过形成基板上面的基本上平坦的层,其低于栅极材料层的顶表面的高度,并且使用该基本上平坦的层作为掩膜层以形成第一栅极结构和第二栅极结构,形成了第一栅极结构和第二栅极结构。在一个形式中,第一介质层被形成为围绕半导体结构的第一侧壁和第二侧壁,并且使半导体结构同第一栅极结构和第二栅极结构电气绝缘。在半导体结构的顶表面上,通过与用于形成第一介质层的处理步骤不同的处理步骤,形成了第二介质层。在一个形式中,第一介质层通过第一介质材料形成,并且第二介质层通过第二介质材料形成,第二介质材料具有至少一个不同于第一介质材料的物理属性。在另一形式中,该至少一个物理属性选自下列属性中的一个:介质层厚度、介质电导率、或介电常数。在另一实施例中,形成了电荷存储结构,该电荷存储结构位于顶表面和第三栅极结构之间,其中该电荷存储结构包括纳米团簇。在一个形式中,纳米团簇包括硅纳米团簇、锗纳米团簇、锗硅合金纳米团簇、金纳米团簇、银纳米团簇和铂纳米团簇中的至少一个。在一个实施例中,电荷存储结构包括电荷俘获介质,并且该电荷俘获介质包括氮化硅、氧化铪、氧化锌、富氧化硅、和氧化铝中的至少一个。在一个形式中,形成了第一电荷存储结构,其被安置为同第一侧壁相邻,第一栅极结构在第一电荷存储结构的与第一侧壁相反的侧面上,被安置为同第一电荷存储结构相邻。形成了第二电荷存储结构,其被安置为同第二侧壁相邻,第二栅极结构在第二电荷存储结构的与第二侧壁相反的侧面上,被安置为同第二电荷存储结构相邻。在另一实施例中,形成了第三电荷存储结构,该第三电荷存储结构位于顶表面和第三栅极结构之间。在可替换的形式中,仅形成了针对第一栅极结构、第二栅极结构和第三栅极结构中的两个的电接触。在另一形式中,仅实现了针对第一栅极结构、第二栅极结构和第三栅极结构中的一个的电接触。在一个形式中,第三栅极结构具有形成的第一传导类型。在另一形式中,第一栅极结构和第二栅极结构被掺杂,以具有形成的第二传导类型,第一传导类型同第二传导类型相反。在另一形式中,每个第一栅极结构、第二栅极结构和第三栅极结构被掺杂为具有不同的传导率。在另一实施例中,通过有角度掺杂对第一栅极结构和第二栅极结构进行掺杂,其具有不同的掺杂条件。在另一形式中,半导体器件包括半导体结构,其具有顶表面、第一侧壁和同第一侧壁相对的第二侧壁。第一栅极结构被安置为同第一侧壁相邻。第二栅极结构被安置为同第二侧壁相邻。第三栅极结构被安置在顶表面上。在一个形式中,第一栅极结构、第二栅极结构和第三栅极结构在物理上相互分离。源极区域和漏极区域自半导体结构开始在垂直于第一栅极结构和第二栅极结构的侧面的半导体结构的相反侧面上延伸。在一个形式中,第一栅极结构被安置为,在源极和漏极之间的半导体结构的位置处,同第一侧壁相邻。第二栅极结构被安置为,在源极和漏极之间的半导体结构的位置处,同第二侧壁相邻,并且第三栅极结构被安置在源极和漏极之间的顶表面上。在另一实施例中,第一介质层围绕半导体结构的第一侧壁和第二侧壁,并且使半导体结构同第一栅极结构和第二栅极结构电气绝缘。第二介质层位于半导体结构的顶表面上。在一个形式中,第一介质层和第二介质层具有至少一个不同的物理属性,并且该至少一个不同的物理属性包括介质层厚度、介质电导率、或介电常数中的一个。电荷存储结构位于顶表面和第三栅极结构之间。在一个形式中,电荷存储结构包括纳米团簇,其中该纳米团簇包括硅纳米团簇、锗纳米团簇、锗硅合金纳米团簇、金纳米团簇、银纳米团簇和铂纳米团簇中的至少一个。在另一形式中,电荷存储结构是电荷俘获介质,并且该电荷俘获介质包括氮化硅、氧化铪、氧化锌、富氧化硅、和氧化铝中的一个。在另一形式中,第一电荷存储结构被安置为同第一侧壁相邻,并且第一栅极结构在第一电荷存储结构的与第一侧壁相反的侧面上,被安置为同第一电荷存储结构相邻。第二电荷存储结构被安置为同第二侧壁相邻,并且第二栅极结构在第二电荷存储结构的与第二侧壁相反的侧面上,被安置为同第二电荷存储结构相邻。在一个实施例中,第一电荷存储结构和第二电荷存储结构包括纳米团簇,其中该纳米团簇包括硅纳米团簇、锗纳米团簇、锗硅合金纳米团簇、金纳米团簇、银纳米团簇和铂纳米团簇中的至少一个。第一电荷存储结构和第二电荷存储结构包括电荷俘获介质,其中该电荷俘获介质包括氮化硅、氧化铪、氧化锌、富氧化硅、和氧化铝中的至少一个。第三电荷存储结构位于顶表面和第三栅极结构之间,该第三电荷存储结构具有至少一个不同于第一电荷存储结构和第二电荷存储结构的属性。在一个形式中,第三栅极结构被掺杂为具有第一传导类型,并且第一栅极结构和第二栅极结构被掺杂为具有第二传导类型。在另一形式中,第一栅极结构、第二栅极结构和第三栅极结构具有不同的传导率。
因此,本说明书和附图应被视为说明性的而非限制性的,并且所有该修改方案的目的在于涵盖在本发明的范围内。上文针对具体的实施例描述了好处、其它优点和对问题的解决方案。然而,该好处、点、对问题的解决方案,以及可以引出任何好处、优点或解决方案或使其变得更加显著的任何元素,不应被解释为任何或全部权利要求的关键的、需要的或基本的特征。如此处所使用的,术语“包括”或其任何变化形式,目的在于涵盖非排它性的内含物,因此包括一系列元素的工艺、方法、物体或装置不仅包括这些列出的元素,而且可以包括未明确列出或者对于该工艺、方法、物体或装置是固有的元素。

Claims (8)

1.一种制造半导体器件(105)的方法,包括:
形成沟道(113),该沟道包括顶水平表面、第一垂直侧壁和同第一侧壁相对的第二垂直侧壁;
形成第一栅极结构(153,图11)和第二栅极结构(155),其中第一栅极结构(153)被安置为同第一侧壁侧向相邻且基本沿着第一侧壁,并且第二栅极结构被安置为同第二侧壁侧向相邻且基本沿着第二侧壁;
形成第三栅极结构(123),其安置在全部顶水平表面上且基本沿着全部顶水平表面,其中第一栅极结构(153)、第二栅极结构(155)和第三栅极结构(123)在物理上相互分离,其中形成第一栅极结构(153)和第二栅极结构(155)进一步包括:
在第三栅极结构(123)和基板(107)上淀积栅极材料层(147),并且移除第三栅极结构(123)上面的一部分栅极材料层(147)以形成第一栅极结构(153)和第二栅极结构(155);以及
在沟道和第一栅极结构(153)、第二栅极结构(155)、第三栅极结构(123)中至少一个栅极结构之间形成电荷存储层(143、118)。
2.权利要求1的方法,进一步包括:在第三栅极结构和基板上淀积栅极材料层之后,对第三栅极结构(123)构图。
3.权利要求1的方法,其中形成第一栅极结构(153)和第二栅极结构(155)进一步包括:在移除第三栅极结构上面的一部分栅极材料层之后,对第一栅极结构(153)和第二栅极结构(155)构图。
4.权利要求3的方法,进一步包括:在基板(107)上面形成基本上平坦的层(151),其低于栅极材料层的顶表面的高度,将该基本上平坦的层用作掩膜层,以形成第一栅极结构和第二栅极结构。
5.一种半导体器件(105),包括:
沟道(113),其包括顶表面、第一侧壁和同第一侧壁相对的第二侧壁;
第一栅极结构(155),其被安置为同第一侧壁相邻;
第二栅极结构(153),其被安置为同第二侧壁相邻;
第三栅极结构(123),其被安置在顶表面上;以及
第一电荷存储结构(118),其被安置在顶表面和第三栅极结构(123)之间;
其中,第一栅极结构(155)、第二栅极结构(153)和第三栅极结构(123)在物理上相互分离。
6.权利要求5的半导体器件,进一步包括:
源极区域(179)和漏极区域(181),其自沟道开始在垂直于第一栅极结构(155)和第二栅极结构(153)的侧面的沟道的相反侧面上延伸;
其中,第一栅极结构(155)被安置为,在源极区域和漏极区域之间的沟道(113)的位置处,同第一侧壁相邻;
其中,第二栅极结构(153)被安置为,在源极区域和漏极区域之间的沟道的位置处,同第二侧壁相邻;并且
其中,第三栅极结构(123)被安置在源极区域和漏极区域之间的顶表面上。
7.权利要求5的半导体器件,进一步包括:
第一介质层(135),其围绕沟道(113)的第一侧壁和第二侧壁,并且使沟道同第一栅极结构和第二栅极结构电气绝缘;和
第二介质层(115),其位于沟道(113)的顶表面上,第一介质层(135)和第二介质层(115)具有至少个不同的物理属性。
8.权利要求5的半导体器件,进一步包括:
第二电荷存储结构(143),其被安置为同第一侧壁相邻,第一栅极结构(155)在第二电荷存储结构的与第一侧壁相反的侧面上,被安置为同第二电荷存储结构相邻;和
第三电荷存储结构,其被安置为同第二侧壁相邻,第二栅极结构(153)在第三电荷存储结构的与第二侧壁相反的侧面上,被安置为同第三电荷存储结构相邻。
CNB2004800297385A 2003-11-10 2004-10-20 具有三个电气隔离的电极的晶体管及形成方法 Expired - Fee Related CN100433363C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/705,317 2003-11-10
US10/705,317 US7098502B2 (en) 2003-11-10 2003-11-10 Transistor having three electrically isolated electrodes and method of formation

Publications (2)

Publication Number Publication Date
CN1868067A CN1868067A (zh) 2006-11-22
CN100433363C true CN100433363C (zh) 2008-11-12

Family

ID=34552331

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800297385A Expired - Fee Related CN100433363C (zh) 2003-11-10 2004-10-20 具有三个电气隔离的电极的晶体管及形成方法

Country Status (7)

Country Link
US (1) US7098502B2 (zh)
EP (1) EP1702367A2 (zh)
JP (1) JP2007511090A (zh)
KR (1) KR101114703B1 (zh)
CN (1) CN100433363C (zh)
TW (1) TWI360863B (zh)
WO (1) WO2005048299A2 (zh)

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10131276B4 (de) * 2001-06-28 2007-08-02 Infineon Technologies Ag Feldeffekttransistor und Verfahren zu seiner Herstellung
KR20060103455A (ko) * 2003-12-19 2006-09-29 인피니언 테크놀로지스 아게 핀 전계 효과 트랜지스터 메모리 셀, 핀 전계 효과트랜지스터 메모리 셀 장치 및 핀 전계 효과 트랜지스터메모리 셀 제조 방법
US7091130B1 (en) 2004-06-25 2006-08-15 Freescale Semiconductor, Inc. Method of forming a nanocluster charge storage device
US7105934B2 (en) * 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7518179B2 (en) 2004-10-08 2009-04-14 Freescale Semiconductor, Inc. Virtual ground memory array and method therefor
KR100598109B1 (ko) * 2004-10-08 2006-07-07 삼성전자주식회사 비휘발성 기억 소자 및 그 형성 방법
KR100598049B1 (ko) * 2004-10-28 2006-07-07 삼성전자주식회사 멀티 비트 비휘발성 메모리 셀을 포함하는 반도체 소자 및그 제조 방법
US7033956B1 (en) * 2004-11-01 2006-04-25 Promos Technologies, Inc. Semiconductor memory devices and methods for making the same
KR100652384B1 (ko) * 2004-11-08 2006-12-06 삼성전자주식회사 2비트 형태의 불휘발성 메모리소자 및 그 제조방법
US7361543B2 (en) * 2004-11-12 2008-04-22 Freescale Semiconductor, Inc. Method of forming a nanocluster charge storage device
US7335943B2 (en) * 2005-05-06 2008-02-26 Atmel Corporation Ultrascalable vertical MOS transistor with planar contacts
US7112490B1 (en) * 2005-07-25 2006-09-26 Freescale Semiconductor, Inc. Hot carrier injection programmable structure including discontinuous storage elements and spacer control gates in a trench
US20070020840A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Programmable structure including nanocrystal storage elements in a trench
US7285819B2 (en) * 2005-07-25 2007-10-23 Freescale Semiconductor, Inc. Nonvolatile storage array with continuous control gate employing hot carrier injection programming
US7226840B2 (en) * 2005-07-25 2007-06-05 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7205608B2 (en) * 2005-07-25 2007-04-17 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7250340B2 (en) * 2005-07-25 2007-07-31 Freescale Semiconductor, Inc. Method of fabricating programmable structure including discontinuous storage elements and spacer control gates in a trench
WO2007014115A1 (en) * 2005-07-25 2007-02-01 Freescale Semiconductor Electronic device including discontinuous storage elements
US7642594B2 (en) * 2005-07-25 2010-01-05 Freescale Semiconductor, Inc Electronic device including gate lines, bit lines, or a combination thereof
US7619270B2 (en) * 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7394686B2 (en) * 2005-07-25 2008-07-01 Freescale Semiconductor, Inc. Programmable structure including discontinuous storage elements and spacer control gates in a trench
US7211487B2 (en) * 2005-07-25 2007-05-01 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7314798B2 (en) * 2005-07-25 2008-01-01 Freescale Semiconductor, Inc. Method of fabricating a nonvolatile storage array with continuous control gate employing hot carrier injection programming
US7256454B2 (en) * 2005-07-25 2007-08-14 Freescale Semiconductor, Inc Electronic device including discontinuous storage elements and a process for forming the same
US7582929B2 (en) * 2005-07-25 2009-09-01 Freescale Semiconductor, Inc Electronic device including discontinuous storage elements
US7619275B2 (en) 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7262997B2 (en) * 2005-07-25 2007-08-28 Freescale Semiconductor, Inc. Process for operating an electronic device including a memory array and conductive lines
TWI270214B (en) * 2005-12-30 2007-01-01 Ind Tech Res Inst Non-volatile memory device and fabricating method thereof
US7432122B2 (en) 2006-01-06 2008-10-07 Freescale Semiconductor, Inc. Electronic device and a process for forming the electronic device
US7544980B2 (en) * 2006-01-27 2009-06-09 Freescale Semiconductor, Inc. Split gate memory cell in a FinFET
US7592224B2 (en) 2006-03-30 2009-09-22 Freescale Semiconductor, Inc Method of fabricating a storage device including decontinuous storage elements within and between trenches
US7445984B2 (en) 2006-07-25 2008-11-04 Freescale Semiconductor, Inc. Method for removing nanoclusters from selected regions
US7432158B1 (en) 2006-07-25 2008-10-07 Freescale Semiconductor, Inc. Method for retaining nanocluster size and electrical characteristics during processing
US7667260B2 (en) * 2006-08-09 2010-02-23 Micron Technology, Inc. Nanoscale floating gate and methods of formation
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US8785268B2 (en) * 2006-12-21 2014-07-22 Spansion Llc Memory system with Fin FET technology
US7691690B2 (en) * 2007-01-12 2010-04-06 International Business Machines Corporation Methods for forming dual fully silicided gates over fins of FinFet devices
US7572699B2 (en) * 2007-01-24 2009-08-11 Freescale Semiconductor, Inc Process of forming an electronic device including fins and discontinuous storage elements
US7838922B2 (en) 2007-01-24 2010-11-23 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements
US7651916B2 (en) * 2007-01-24 2010-01-26 Freescale Semiconductor, Inc Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
JP5149539B2 (ja) * 2007-05-21 2013-02-20 ルネサスエレクトロニクス株式会社 半導体装置
KR101226685B1 (ko) * 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
US7817387B2 (en) * 2008-01-09 2010-10-19 Freescale Semiconductor, Inc. MIGFET circuit with ESD protection
US7888750B2 (en) * 2008-02-19 2011-02-15 International Business Machines Corporation Multi-fin multi-gate field effect transistor with tailored drive current
US8022478B2 (en) * 2008-02-19 2011-09-20 International Business Machines Corporation Method of forming a multi-fin multi-gate field effect transistor with tailored drive current
KR100971411B1 (ko) * 2008-05-21 2010-07-21 주식회사 하이닉스반도체 반도체 장치의 수직 채널 트랜지스터 형성 방법
US7902000B2 (en) * 2008-06-04 2011-03-08 International Business Machines Corporation MugFET with stub source and drain regions
US20090303794A1 (en) * 2008-06-04 2009-12-10 Macronix International Co., Ltd. Structure and Method of A Field-Enhanced Charge Trapping-DRAM
US20090309139A1 (en) * 2008-06-13 2009-12-17 International Business Machines Corporation Asymmetric gate electrode and method of manufacture
US8227867B2 (en) 2008-12-23 2012-07-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
KR101573697B1 (ko) * 2009-02-11 2015-12-02 삼성전자주식회사 수직 폴딩 구조의 비휘발성 메모리 소자 및 그 제조 방법
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US7999332B2 (en) * 2009-05-14 2011-08-16 International Business Machines Corporation Asymmetric semiconductor devices and method of fabricating
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
CN102315267B (zh) * 2010-07-01 2013-12-25 中国科学院微电子研究所 一种半导体器件及其形成方法
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP5559118B2 (ja) * 2010-09-26 2014-07-23 力旺電子股▲ふん▼有限公司 半導体不揮発性メモリ
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US20130043544A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Structure having three independent finfet transistors
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US9018713B2 (en) 2012-06-25 2015-04-28 International Business Machines Corporation Plural differential pair employing FinFET structure
US9024387B2 (en) 2012-06-25 2015-05-05 International Business Machines Corporation FinFET with body contact
CN104937721B (zh) * 2012-07-01 2018-02-16 赛普拉斯半导体公司 多层电荷俘获区具有氘化层的非易失性电荷俘获存储器件
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
CN103474464B (zh) * 2013-08-27 2016-02-17 北京大学 一种复合机制的条形栅隧穿场效应晶体管及其制备方法
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
KR102224525B1 (ko) 2014-02-03 2021-03-08 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용하여 제조한 반도체 장치 및 그 반도체 장치의 제조 방법
US9214557B2 (en) * 2014-02-06 2015-12-15 Globalfoundries Singapore Pte. Ltd. Device with isolation buffer
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
KR102399023B1 (ko) 2015-06-22 2022-05-16 삼성전자주식회사 반도체 장치
DE102015110490A1 (de) * 2015-06-30 2017-01-05 Infineon Technologies Austria Ag Halbleiterbauelemente und ein Verfahren zum Bilden eines Halbleiterbauelements
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
JP6557095B2 (ja) * 2015-08-26 2019-08-07 ルネサスエレクトロニクス株式会社 半導体装置
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US10748808B2 (en) * 2018-07-16 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap-filling process for semiconductor device
JP7089967B2 (ja) * 2018-07-17 2022-06-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
CN110544717B (zh) * 2019-08-08 2023-03-10 宁波大学 一种三独立栅FinFET器件

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1124407A (zh) * 1994-03-25 1996-06-12 株式会社日立制作所 半导体集成电路器件及其制造方法
US5915176A (en) * 1996-12-26 1999-06-22 Lg Semicon Co., Ltd. Method of making floating gate based memory device
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
US6414356B1 (en) * 1998-03-30 2002-07-02 Micron Technology, Inc. Circuits and methods for dual-gated transistors

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0779138B2 (ja) * 1987-08-31 1995-08-23 工業技術院長 不揮発性半導体メモリ素子
US4859623A (en) 1988-02-04 1989-08-22 Amoco Corporation Method of forming vertical gate thin film transistors in liquid crystal array
JPH05291586A (ja) * 1992-04-09 1993-11-05 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JPH08204191A (ja) 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5689127A (en) 1996-03-05 1997-11-18 International Business Machines Corporation Vertical double-gate field effect transistor
JP4384739B2 (ja) * 1997-04-04 2009-12-16 聯華電子股▲ふん▼有限公司 半導体装置及びその製造方法
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6588882B2 (en) * 1997-07-15 2003-07-08 Silverbrook Research Pty Ltd Inkjet printheads
US6768165B1 (en) 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6104068A (en) 1998-09-01 2000-08-15 Micron Technology, Inc. Structure and method for improved signal processing
DE19846063A1 (de) 1998-10-07 2000-04-20 Forschungszentrum Juelich Gmbh Verfahren zur Herstellung eines Double-Gate MOSFETs
US6172905B1 (en) 2000-02-01 2001-01-09 Motorola, Inc. Method of operating a semiconductor device
US6580124B1 (en) * 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
JP2002135053A (ja) * 2000-10-26 2002-05-10 Murata Mfg Co Ltd 圧電発振器及びその製造方法、及び圧電発振器を用いた電子装置
US6372559B1 (en) 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6396108B1 (en) 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6424001B1 (en) 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
US6566682B2 (en) 2001-02-09 2003-05-20 Micron Technology, Inc. Programmable memory address and decode circuits with ultra thin vertical body transistors
US6531350B2 (en) 2001-02-22 2003-03-11 Halo, Inc. Twin MONOS cell fabrication method and array organization
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
KR100431489B1 (ko) * 2001-09-04 2004-05-12 한국과학기술원 플래쉬 메모리 소자 및 제조방법
US6689650B2 (en) 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6433609B1 (en) 2001-11-19 2002-08-13 International Business Machines Corporation Double-gate low power SOI active clamp network for single power supply and multiple power supply applications
US6800905B2 (en) 2001-12-14 2004-10-05 International Business Machines Corporation Implanted asymmetric doped polysilicon gate FinFET
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
US6657252B2 (en) 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US6736447B2 (en) * 2002-03-19 2004-05-18 Paccar Inc Ducted aerodynamic front section of a vehicle
US6703282B1 (en) * 2002-07-02 2004-03-09 Taiwan Semiconductor Manufacturing Company Method of reducing NMOS device current degradation via formation of an HTO layer as an underlying component of a nitride-oxide sidewall spacer
US6846734B2 (en) 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
KR100881201B1 (ko) * 2003-01-09 2009-02-05 삼성전자주식회사 사이드 게이트를 구비하는 소노스 메모리 소자 및 그제조방법
US7192876B2 (en) * 2003-05-22 2007-03-20 Freescale Semiconductor, Inc. Transistor with independent gate structures
US6816414B1 (en) * 2003-07-31 2004-11-09 Freescale Semiconductor, Inc. Nonvolatile memory and method of making same
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1124407A (zh) * 1994-03-25 1996-06-12 株式会社日立制作所 半导体集成电路器件及其制造方法
US5915176A (en) * 1996-12-26 1999-06-22 Lg Semicon Co., Ltd. Method of making floating gate based memory device
US6414356B1 (en) * 1998-03-30 2002-07-02 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage

Also Published As

Publication number Publication date
EP1702367A2 (en) 2006-09-20
US7098502B2 (en) 2006-08-29
TW200527599A (en) 2005-08-16
WO2005048299A3 (en) 2005-11-17
KR20060123141A (ko) 2006-12-01
JP2007511090A (ja) 2007-04-26
TWI360863B (en) 2012-03-21
KR101114703B1 (ko) 2012-02-29
US20050098822A1 (en) 2005-05-12
WO2005048299A2 (en) 2005-05-26
CN1868067A (zh) 2006-11-22

Similar Documents

Publication Publication Date Title
CN100433363C (zh) 具有三个电气隔离的电极的晶体管及形成方法
US6831310B1 (en) Integrated circuit having multiple memory types and method of formation
US10950608B2 (en) Semiconductor device having junctionless vertical gate transistor and method of manufacturing the same
US6518618B1 (en) Integrated memory cell and method of fabrication
US8389357B2 (en) Method of fabricating a memory device having a memory array including a plurality of memory cell transistors arranged in rows and columns
US9362418B2 (en) Semiconductor structures including bodies of semiconductor material and methods of forming same
US6424001B1 (en) Flash memory with ultra thin vertical body transistors
US8779502B2 (en) Nonvolatile semiconductor memory
US6475857B1 (en) Method of making a scalable two transistor memory device
US7208376B2 (en) Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried floating gate and pointed channel region
JP3936830B2 (ja) 半導体装置
US5110753A (en) Cross-point contact-free floating-gate memory array with silicided buried bitlines
US7560757B2 (en) Semiconductor device with a structure suitable for miniaturization
JP2003303908A (ja) 埋設ソースライン及びフローティングゲートを伴うフローティングゲートメモリセルの半導体メモリアレーを形成する自己整列型方法及びそれにより作られたメモリアレー
US5051796A (en) Cross-point contact-free array with a high-density floating-gate structure
US7847333B2 (en) Structured, electrically-formed floating gate for flash memories
US5200350A (en) Floating-gate memory array with silicided buried bitlines
US5095345A (en) Floating-gate memory array with silicided buried bitlines
TW202133405A (zh) 半導體裝置
JP4549856B2 (ja) 2つの制御領域を有する集積された電界効果トランジスタ、その使用、およびその製造方法
US20240153540A1 (en) Semiconductor device structure
CN115332360A (zh) 一种pn结注入型浮栅晶体管及其制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081112

Termination date: 20161020