WO1999003926A1 - Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation - Google Patents

Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation Download PDF

Info

Publication number
WO1999003926A1
WO1999003926A1 PCT/JP1998/003186 JP9803186W WO9903926A1 WO 1999003926 A1 WO1999003926 A1 WO 1999003926A1 JP 9803186 W JP9803186 W JP 9803186W WO 9903926 A1 WO9903926 A1 WO 9903926A1
Authority
WO
WIPO (PCT)
Prior art keywords
thin film
organic polymer
alkoxysilane
group
solvent
Prior art date
Application number
PCT/JP1998/003186
Other languages
English (en)
French (fr)
Inventor
Takaaki Ioka
Tsuneaki Tanabe
Original Assignee
Asahi Kasei Kogyo Kabushiki Kaisha
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Kasei Kogyo Kabushiki Kaisha filed Critical Asahi Kasei Kogyo Kabushiki Kaisha
Priority to AU82427/98A priority Critical patent/AU8242798A/en
Priority to US09/423,798 priority patent/US6448331B1/en
Priority to CA002289782A priority patent/CA2289782C/en
Priority to EP98932538A priority patent/EP0997497B1/en
Priority to JP50691499A priority patent/JP4093596B2/ja
Priority to AT98932538T priority patent/ATE280806T1/de
Priority to DE69827259T priority patent/DE69827259T2/de
Publication of WO1999003926A1 publication Critical patent/WO1999003926A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/10Block or graft copolymers containing polysiloxane sequences
    • C09D183/12Block or graft copolymers containing polysiloxane sequences containing polyether sequences
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/04Polysiloxanes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4673Application methods or materials of intermediate insulating layers not specially adapted to any one of the previous methods of adding a circuit layer
    • H05K3/4676Single layer compositions

Definitions

  • the present invention relates to an alkoxysilane-organic polymer composition for producing an insulating thin film. More specifically, the present invention relates to (A) a specific alkoxysilane, (B) a specific organic polymer, and (C) a solvent for the alkoxysilane (A) and the organic polymer (B). And a solvent containing an organic solvent having a mid group and / or an ester group. Since the composition of the present invention is used as a raw material, it can be easily used in the current semiconductor device manufacturing process, and has a low dielectric constant for a multilayer wiring structure of a semiconductor device. An insulating layer can be manufactured.
  • the present invention provides a multilayer wiring structure of a semiconductor device, including an insulating layer composed of a thin film obtained by using the above composition and a wiring formed thereon, and a multilayer wiring structure of the semiconductor element.
  • the present invention relates to a semiconductor device including a structure.
  • Indispensable for multilayer wiring structures of semiconductor devices including LSI As a material for the edge layer, silica having a dielectric constant of 4.0 to 4.5 has been generally used. However, in recent years, the wiring of semiconductor elements such as LSIs has been continuously increasing in density, and accordingly, the distance between adjacent wirings on a substrate has been reduced. As a result, the wiring adjacent to the insulator interposed between them functions as a capacitor. At this time, if the dielectric constant of the insulator is high, the capacitance of the capacitor increases, and as a result, the delay of the transmission of the electric signal transmitted through the wiring becomes remarkable, which poses a problem. In order to solve such a problem, use of a material having a low dielectric constant as an insulating layer material for a multilayer wiring structure is being studied.
  • the currently known substance with the lowest dielectric constant is polytetrafluoroethylene, which has a dielectric constant of about 1.9, but in general, fluoropolymers are not compatible with substrates and wiring. Due to poor adhesion and heat resistance, there is a problem as a material for the insulating layer for a multilayer wiring structure.In addition, in recent years, the dielectric constant of the insulating layer has been required to be less than 1.9. It is getting to be done.
  • an insulating layer having a lower dielectric constant which is made of a composite of air and a dielectric constant of 1, which is made of an existing material made porous.
  • a typical porous material is silica air mouth gel.
  • it is essential to carry out supercritical drying for the production of silica air mouth gel. As a result, it takes a long time to produce a gel with a gel with a porous air port. It is virtually impossible to introduce the manufacturing process of the insulating layer composed of silica airgel into the current semiconductor device manufacturing process, because it always requires complicated processes and special equipment.
  • US Pat. No. 5,472,913 discloses a method for obtaining a porous silica using a special technique without performing supercritical drying. However, since the process is rather complicated, it is difficult to use this method to introduce the manufacturing process of an insulating layer composed of porous silica into the current semiconductor device manufacturing process.
  • Japanese Patent Application Laid-Open No. Hei 8 — 245,278 discloses that a metal alkoxide solution to which poly (ethylene glycol) is added is coated on a substrate, and then heated and fired to obtain a ceramic. A method for producing a porous thin film is disclosed.
  • Japanese Patent Application Laid-Open No. 7-109389 discloses that a metal alkoxide or the like is hydrolyzed and condensed in the presence of an organic polymer, and then calcined to obtain a petroleum refinery. A method for producing a catalyst support has been disclosed.
  • WO97Z069696 discloses a recoating solution prepared by dissolving a metal alkoxide, an acid and an organic polymer in a mixed solvent comprising a first solvent and water. This solution is applied to a glass substrate, the gel film formed on the substrate is dried, the gel film is immersed in a second solvent, and the organic polymer is extracted from the film.
  • a method for producing a porous metal oxide film by firing a film is disclosed.
  • the present inventors have proposed a multilayer wiring structure for a semiconductor device which has a low dielectric constant and can be manufactured by a method which can be easily implemented in the current semiconductor device manufacturing process.
  • a silica-organic polymer obtained by molding a composition comprising a thin film and heat-treating the obtained thin film.
  • the composite thin film and the porous silica thin film obtained by removing the organic thin film from the composite thin film have a low dielectric constant and are considered to be suitable as an insulating layer for a multilayer wiring structure of a semiconductor device. Therefore, it can be manufactured by a method that can be easily implemented in the current semiconductor device manufacturing process. And have completed the present invention.
  • one object of the present invention is to provide an insulating layer for a multilayer wiring structure of a semiconductor device, which has a low dielectric constant and can be manufactured by a method which can be easily implemented in a current semiconductor device manufacturing process.
  • a composition for producing the same is to provide an insulating layer for a multilayer wiring structure of a semiconductor device, which has a low dielectric constant and can be manufactured by a method which can be easily implemented in a current semiconductor device manufacturing process.
  • Another object of the present invention is to provide an insulating composite thin film obtained by using the above composition, a porous silicon thin film obtained from the composite thin film, and uses thereof. It is here.
  • each R independently represents a linear or branched alkyl group having 16 carbon atoms
  • RR 2 and R 3 each independently represent a hydrogen atom or a monovalent monovalent having 6 carbon atoms.
  • alkoxysilane selected from the group consisting of (4) monoanorokeoxysilane and (5) trialkoxysilane dimer, provided that the above-mentioned alkoxysilane (3) and (4) (Ii) at least one selected from the group consisting of the above alkoxysilanes (1), (2) and (5);
  • (C) a solvent for the alkoxysilane (A) and the organic polymer (B), which is at least selected from the group consisting of an organic solvent having an amide bond and an organic solvent having an ester bond.
  • Alkoxysilanes for the production of insulating thin films A reminder composition is provided.
  • each R independently represents a linear or branched alkyl group having 1 to 6 carbon atoms
  • RR 2 and R 3 each independently represent a hydrogen atom or a carbon atom having 1 to 6 carbon atoms.
  • R 4 represents a divalent hydrocarbon group having 6 carbon atoms.
  • Aliphatic polyester chain having a repeating unit containing an aliphatic polycarbonate chain having a repeating unit having a carbon number of 2 to 12, and ananhan having a repeating unit of 2 to 12 carbon atoms At least at least one kind of aliphatic polymer selected from the group consisting of aliphatic polyanhydride chains having a hydrid group-containing repeating unit.
  • (C) a solvent for the alkoxysilane (A) and the organic polymer (B), which is at least selected from the group consisting of an organic solvent having an amide bond and an organic solvent having an ester bond. Also a solvent containing one organic solvent,
  • An alkoxysilane-organic polymer composition for producing an insulating thin film comprising:
  • the alkoxysilane (A) comprises at least one kind of the above-mentioned alkoxysilanes (1) and the above-mentioned alkoxysilanes (2) to (2).
  • the alkoxysilane (A) is at least one of the above trialkoxysilanes (2), or at least one of the above trialkoxysilanes (2) and the above alkoxysilanes.
  • the composition according to the above (1) which is a mixture with at least one kind of alkoxysilane selected from the group consisting of (3) to (5).
  • the organic polymer (B) is a polyalkylene glycol having a number average molecular weight of 200 to 100,000 and having an ether group-containing repeating unit having 2 to 12 carbon atoms. 6.
  • the content of the organic polymer (B) in the composition is from 0.1 to 1 part by weight based on 1 part by weight of a product obtained by hydrolysis and dehydration condensation of the entire alkoxysilane (A). 7.
  • 8.A thin film is formed by molding the composition described in any one of the above items 1 to 7,
  • the alkoxysilane (A) in the thin film is gelled by its hydrolysis / dehydration condensation reaction, and
  • silica-organic polymer composite thin film according to item 8 wherein the film thickness is 0.1 or more: L O Om.
  • silica-organic polymer composite thin film according to the item 8 or 9, wherein the silica-organic polymer thin film is transparent to visible light having a wavelength of 0.4 to 0.7 m.
  • silica-organic polymer composite including a plurality of insulating layers and wiring formed thereon, wherein at least one of the insulating layers is A multilayer wiring structure comprising a thin film.
  • a semiconductor device including the multilayer wiring structure according to 11 above, 13.
  • a porous silica thin film obtained by removing the organic polymer from the silica-organic polymer-composite thin film according to any one of the above items 8 to 10.
  • porous silica thin film according to any one of the above items 13 to 15, characterized in that the porous silica thin film is surface-treated with a silylating agent.
  • a plurality of insulating layers and wiring formed thereon are included, and at least one of the insulating layers is made of a porous silica thin film described in any one of the above items 13 to 16.
  • a multilayer wiring structure is included.
  • At least one kind of alkoxysilane used as the component (A) of the alkoxysilane-organic polymer composition of the present invention has the following formula (1) to (5):
  • each R independently represents a linear or branched alkyl group having 1 to 6 carbon atoms
  • RR 2 and R 3 each independently represent a hydrogen atom or 1 to 6 carbon atoms.
  • R 4 represents a divalent hydrocarbon group having 1 to 6 carbon atoms.
  • alkyl groups suitable as R in the formula are methyl, ethyl, n-propyl, i-propyl, n-butyl, i-butyl and t-butyl. is there.
  • alkyl groups suitable as R 2 and R 3 in the formula are a hydrogen atom, a methyl group and a phenyl group.
  • divalent hydrocarbon group suitable as R 4 in the formula include a methylene group, an ethylene group, an isopropylidene group and And a phenylene group.
  • the alkoxysilane (A) may be used in at least one of the alkoxysilanes selected from the group consisting of the alkoxysilanes (1), (2) and (5), and if desired, the alkoxysilane (3) and (4) It is preferable that the alkoxy silane (A) obtained by adding at least one type of alkoxy silane selected from the group consisting of: In particular, the above-mentioned anolecoxysilane (A) is
  • At least one of the above alkoxysilanes (3) and (4) is selected from the group consisting of the above alkoxysilanes.
  • the alkoxysilane (A) is replaced with the alkoxysilane
  • the content of (1) is increased, the crosslinking density of the product obtained by the hydrolysis and dehydration condensation of the alkoxysilane (A) is increased, and the silica-polyorganic polymer of the present invention described later is used.
  • the mechanical strength of the mer composite thin film and the porous silicon thin film is improved.
  • the content of the tetraalkoxysilane (1 ′) is reduced, and at least one kind selected from the group consisting of the alkoxysilanes (2) to (5) is used.
  • the content of the alkoxysilane is increased, the crosslink density of the product obtained by hydrolysis and dehydration condensation of the alkoxysilane (A) is reduced, so that the product has a crack ( Cracks) are less likely to occur.
  • a hydrocarbon group is directly connected to a silicon atom, the hygroscopicity of the above product is greatly reduced due to the influence of the hydrocarbon group.
  • Each of (1) to (3) and (5) may be partially hydrolyzed.
  • any other metal alkoxide that can be condensed with each of the above-mentioned alkoxysilanes (1) to (5) for example, aluminum, titanium, zirconium, borane C 1 to C 6 alcohols, preferably elemental, magnesium, germanium, zinc, tin, niobium, lead, strontium, lithium, and lithium, preferably phenolic arm, titanium down, C of zirconyl two c beam, ⁇ C 6 alkoxy de the Arco Kishishira switch (1) can also this added to (5).
  • the addition amount is alkoxysilane
  • alkoxysilanes that can be used as the above-mentioned alkoxysilanes (1) to (5) include tetramethoxysilane and tetraethoxysilane. , Tetra
  • n-Proboxy silane Tetra (i-Proboxy) silane, Tetra (n-butoxy) silane, Tetra (t-butoxy) silane, Trime Toxicylane, triethoxysilane, methyltrimethoxysilane, methyltriethoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, dimethyinoresin Toxisilane, Dimethyl ethoxy silane, Diphenyl ethoxy silane, Diphenyl ethoxy silane, Phenyl dimethyl siloxane, Phenyl ethoxy silane, Methyl dimethyl silane, Methyl ethoxy silane And phenylmethyl Dimethoxy silane, phenylmethyl ethoxy silane, trimethyl methoxy silane, trimethyl ethoxy silane, triphenyl methoxy silane, triphenyl methoxy silane, triphenyl meth
  • tetramethoxysilane, tetraethoxysilane, trimethoxysilane, triethoxysilane, methyltrimethoxysilane, methyltriethoxysilane Preference is given to xysilane, dimethyl dimethyl xylan, dimethyl methoxy xylan, trimethyl methoxy silane and trimethyl ethoxy silane.
  • Examples of the organic polymer used as the component (B) of the alkoxysilane-organic polymer composition of the present invention include a compound having a carbon number of 2 to 12 as apparent from the specific examples described below.
  • G-containing repeating unit And at least one fat selected from the group consisting of an aliphatic polycarbonate chain having an aliphatic group having 2 to 12 carbon atoms and an aliphatic group having a repeating unit having 2 to 12 carbon atoms.
  • An organic polymer having a main chain mainly composed of an aromatic polymer chain is used.
  • the organic polymer (B) may be a single polymer or a mixture of a plurality of polymers.
  • the main chain of the organic polymer (B) may contain a polymer chain having any repeating unit other than those described above as long as the effects of the present invention are not impaired, and may be at the terminal. It may have a functional group.
  • the terminal of the polyether, the polyester, the polycarbonate, and the polyanhydride is usually a hydroxyl group or a carboxyl group, but the terminal functional group in the present invention is not limited thereto, and the present invention is not limited thereto. It may be modified with any functional group as long as the effect of the above is not impaired.
  • Examples of the aliphatic polyether having an ether group-containing repeating unit having 2 to 12 carbon atoms include poly (ethylene glycol), poly (propylene glycol), poly (methylendrene) glycol, and poly (tetraethylene).
  • Alkylene glycols such as lamethylene glycol, polypentamethylen glycol, polyhexamethylen glycol, polydioxolan, and polydioxepane can be mentioned.
  • aliphatic polycarbonates are polyglycolide, polycaprolactone, polyprolactone triol, and polypyrrololactone.
  • ring-opening copolymers of epoxides and acid anhydrides are polyglycolide, polycaprolactone, polyprolactone triol, and polypyrrololactone.
  • Examples of the aliphatic polycarbonate having a carbonate group-containing repeating unit having 2 to 12 carbon atoms include polyethylene carbonate, polypropylene carbonate, and polytricarbonate.
  • Polycondensates of carbonic acid and alkylendalcol, such as methylene carbonate, polytetramethylene carbonate, polylene methylcarbonate, and polyhexamethylene carbonate, can be mentioned. You.
  • Examples of aliphatic polyanhydrides having an anhydride group-containing repeating unit having 2 to 12 carbon atoms include poly (malonyl oxide), poly (adiboyl oxide), and poly (pi-methyol).
  • Examples include polycondensates of dicarboxylic acids such as loyloxide, polyhydroxyloxide, polyazelaoyloxide, and polysebaccoinoleoxide.
  • polyethylene glycol, polypropylene glycol, polypropylactatone, polyprolactone triol polyethylene carbonate, polypentamethylene It is preferable to use polycarbonate, polymethylene carbonate, or polyaziboyl oxide, polyazeoinoleoxide, or polycoxyl oxide.
  • alkylene glycol refers to a dihydric alcohol obtained by substituting two hydrogen atoms of an alkyl group having 2 or more carbon atoms that are not bonded to the same carbon atom with hydroxyl groups.
  • dicarboxylic acids are organic compounds having two carboxyl groups such as oxalic acid, malonic acid, succinic acid, glutanoleic acid, adipic acid, pimelic acid, suberic acid, azelic acid, and sebacic acid. Refers to acids.
  • the homogeneity of the resulting silica-organic polymer composite thin film is insufficient.
  • problems such as an increase in the sintering temperature have arisen, and the present semiconductor device manufacturing process requires that the silica-organic polymer composite thin film and the porous silica thin film of the present invention be manufactured. Becomes difficult.
  • any other polymer may be added for the purpose of, for example, adjusting viscosity or improving coating properties.
  • the content of the organic polymer (B) in the composition of the present invention varies depending on the physical properties to be possessed by the silica-organic polymer composite thin film and the porous silica thin film described below.
  • Serial total amount hydrolysis of alkoxysilane emissions (A) with respect to product 1 part by weight obtained by dehydration condensation, 1 0 _ 2 to 1 0 0 parts by weight, preferable properly 1 0 1 to 1 0 weight Parts, more preferably in the range of 0.5 to 5 parts by weight. If the content of the organic polymer (B) is less than 10 to 2 parts by weight based on 1 part by weight of the above product, a thick film cannot be applied and crack resistance cannot be obtained, so that the composite is not obtained.
  • the characteristics of the body do not appear, and a porous silica thin film having a sufficient porosity cannot be obtained. Also, if the amount is more than 100 parts by weight, the properties of the composite do not appear because the mechanical properties do not increase, and a porous silica thin film having sufficient mechanical strength cannot be obtained, so that practicality is not improved. Scarce.
  • the number average molecular weight of the organic polymer (B) is preferably from 200,000 to 100,000. It should be noted here that the pore size of the porous silicon thin film is extremely small, independent of the molecular weight of the organic polymer. This is a major difference between the present invention and the conventional technology, and the composite or porous silica of the present invention is particularly excellent as an insulating layer for a multilayer wiring structure of a semiconductor device. This is one reason.
  • the solvent for the alkoxysilane (A) and the organic polymer (B) used as the component (C) of the alkoxysilane-organic polymer composition composition of the present invention is amide. It is necessary to contain at least one organic solvent selected from the group consisting of an organic solvent having a bond and an organic solvent having an ester bond.
  • At least one organic solvent selected from the group consisting of an organic solvent having an amide bond and an organic solvent having an ester bond in the solvent (C) is a silica-organic solvent described later.
  • the polymer composite thin film has an effect of preventing the organic polymer (B) dispersed almost molecularly in the thin film from associating to increase the size of the polymer phase.
  • a group consisting of an organic solvent having an amide bond and an organic solvent having an ester bond is used.
  • the molecules of the organic polymer (B) are aggregated as described below.
  • the organic polymer (B) dispersed in the silica-organic polymer composite thin film associates and the size of the polymer phase increases.
  • a porous silica thin film described later is manufactured using such a composite thin film, a large amount of the porous silica thin film is contained in the porous silica thin film. Such holes often cause defects in a multilayer wiring structure in a semiconductor device.
  • the content of the organic solvent having an amide bond and / or the organic solvent having an ester bond in the solvent (C) is preferably 1% by weight or more of the whole solvent (C). Content is 1 weight
  • the organic solvent having an amide bond and / or the organic solvent having an ester bond is poor, and the organic polymer (B) in the silica-organic polymer composite thin film is reduced.
  • the size of the polymer phase becomes large, and as a result, a porous silica thin film having small pores and large porosity may not be obtained.
  • Examples of the solvent having an amide bond that can be used in the present invention include formamide, N—methylformamide, N—ethylformamide, N, N—dimethylformamide, N , N—Jethylformamide, N—Methylacetamide, N—Ethylacetamide, N, N—Dimetylacetamide, N, N—Jethylformamide, N — Methylpyrrolidone, N—Horminole monolefolin, N—Acetinolemorpholin, N—Hormilipeperidine, N—Acetylbiperidine, N—Honoremilpyrrolidine, N—Acetylpyrrolidine, N , N'-diformylpiperazine, N, N'-amides such as diacetyl-biperazine, tetramethyl terephthalate, N, N'-dimethyl-imidazolidinone, etc.
  • Examples of the solvent having an ester bond that can be used in the present invention include ethyl formate and methyl acetate.
  • N N—dimethylformamide
  • N N—dimethylformamide
  • One kind of organic solvent selected from the group consisting of an organic solvent having an amide bond and an organic solvent having an ester bond may be used alone as the solvent (C).
  • Mixed solvent consisting of at least one organic solvent and at least one other organic solvent
  • (C) is preferred because the viscosity of the composition of the present invention and the evaporation rate of the solvent (C) can be easily controlled.
  • other solvents include alcohols such as C i -C 4 monohydric alcohol, C i -C 4 dihydric alcohol, glycerin, etc.
  • tilsnorefoxide dimethylsnorefon, and sulfolane.
  • C monohydric alcohol one le of ⁇ C 4, C i ⁇ C 4 bivalent Anoreko Lumpur, grayed Li Se Li down, ethyl Renguri Konoremono main Chinoreetenore, pro pin render Li co Rumo Roh main switch
  • a solvent having a hydroxyl group such as a diether, because a thin film having a uniform film thickness can be easily produced when the composition of the present invention is molded to produce a thin film.
  • the amount of the solvent (C) in the composition of the present invention is preferably 0.05% by weight or more based on the total weight of the composition of the present invention. If the content is less than 0.05% by weight, the alkoxysilane (A) in the composition of the present invention will not be sufficiently gelled by the hydrolysis / dehydration condensation reaction, so Obtain a certain silica organic polymer composite thin film or porous silica thin film It can be difficult.
  • the composition of the present invention may contain a substance that functions as a catalyst for accelerating the hydrolysis / dehydration condensation reaction of the alkoxysilane (A).
  • a substance that functions as a catalyst include hydrochloric acid, nitric acid, sulfuric acid, phosphoric acid, formic acid, acetic acid, oxalic acid, malonic acid, maleic acid, toluenesulfonic acid, and the like, and ammonia water.
  • bases such as potassium hydroxide, sodium hydroxide, triethylamine, triethanolamine, pyridine, pyridine and colin.
  • the acid and the base may be used alone or in combination of two or more. It is also possible to carry out stepwise treatment using both an acid and a base.
  • stepwise treatment refers to, for example, treatment with an acid catalyst before treatment with a base catalyst or vice versa. In this case, two types of catalysts are added to the composition.
  • the amount of the catalyst, alkoxysilane emissions (A) 1 mol per 1 mol or less, preferable properly is suitably 1 0 1 mol. If the amount is more than 1 mol, a precipitate is formed, and it may be difficult to obtain a uniform porous silica thin film.
  • water is required for hydrolysis of the alkoxysilane (A).
  • Water may be added to the composition of the present invention in advance, or if the above catalyst is added as an aqueous solution to the composition of the present invention. In this case, water as the solvent may be used.
  • water as the solvent may be used.
  • the hydrolysis of the alkoxysilane (A) is carried out in an atmosphere containing a sufficient amount of water vapor, it is not necessary to particularly add water.
  • suitable amount of water added ⁇ Rukokishishira emissions (A) versus the Kei atom 1 mol contained in 1 0 4 mol or less, preferable properly 10 moles or less.
  • 1 0 4 mode Ruyo Li large, there are cases where homogeneity of the silica force one organopolyphosphite M a composite thin film is lowered.
  • additives such as a photocatalyst generator for imparting photosensitivity, an adhesion enhancer for enhancing adhesion to a substrate, and a stabilizer for long-term storage may be added. Can be added to the composition of the present invention within a range that does not impair the properties.
  • a thin film is formed by molding the composition obtained as described above, and the alkoxysilane (A) in the obtained thin film is gelled by the hydrolysis and dehydration condensation reaction. Then, by a method including removing the solvent (C) remaining in the thin film, a silica-organic polymer composite thin film can be obtained.
  • silicon refers to a material having a hydrocarbon group or a hydrogen atom on silicon in addition to SiO 2 .
  • a thin film is formed by applying the composition of the present invention on a substrate.
  • the thin film can be formed by a known method such as casting, immersion, or spin coating, but a spin coat is preferable for use in manufacturing an insulating layer for a multilayer wiring structure of a semiconductor device. It is.
  • the thickness of the thin film can be adjusted by changing the viscosity and rotation speed of the composition. It can be controlled in the range of ⁇ 10 1 ⁇ . 1 ⁇ ⁇ ⁇ ⁇ If thick, cracks may occur.
  • As an insulating layer for a multi-layer wiring structure of a semiconductor element usually 0.5 in! A ⁇ 5 m thin film is used.
  • Substrates include semiconductor substrates such as silicon and germanium, and compound semiconductor substrates such as gallium-arsenic and indium-antimony.
  • a plate or the like can be used, or a thin film of another substance can be formed on these surfaces.
  • the thin film may be a thin film made of a metal such as aluminum, titanium, chromium, nickel, copper, silver, tantanore, tungsten, osmium, platinum, gold, or silicon dioxide.
  • a thin film composed of an inorganic compound such as silsesquioxane fluoride, a thin film composed of methylsilsesquioxane, amonoreforescarbon, fluorinated amonorefuse carbon, polyimide, or any other organic polymer is used. Can be done.
  • the surface of the substrate may be treated with an adhesion enhancer in advance.
  • an adhesion enhancer in this case, those used as a so-called silane coupling agent or an aluminum kill compound can be used.
  • silane coupling agent or an aluminum kill compound
  • Particularly preferred are 3-aminopropyl trimethoxysilane, 3-aminopropyltriethoxysilane, and N-aminopropyl trimethoxysilane.
  • the thin film obtained as described above When the alkoxysilane (A) in the thin film obtained as described above is gelated by a hydrolysis-dehydration condensation reaction, the thin film has a silicon dioxide-like three-dimensional network structure. It becomes a product.
  • the temperature of the hydrolysis / dehydration condensation reaction of the alkoxysilane (A) is not particularly limited, but is usually in the range of 0 to 180 ° C, preferably 30 to 150 ° C.
  • the temperature is lower than 0 ° C, the reaction rate is low, and it takes a long time for the alkoxysilane (A) to sufficiently gel.
  • the temperature is higher than 180 ° C, a huge void is formed. It is easy to form, and the homogeneity of the silica-organic polymer composite thin film described later decreases.
  • Hydrolysis of alkoxyxylan (A) '' Dehydration condensation The time required for the reaction varies depending on the temperature of the heat treatment, the amount of the catalyst, and the like, but is usually in the range of several minutes to several days.
  • the gelation of the alkoxysilane (A) and the evaporation of at least a portion of the solvent (C) occur simultaneously, but by adjusting the boiling point of the solvent (C), the type of catalyst, and the amount added. It is also possible to adjust the speed of both. In general, it is better to adjust at least part of the solvent (C) to remain in the thin film until the alkoxysilane (A) gels sufficiently. Is often given.
  • the thin film is subsequently dried to remove the remaining solvent (C).
  • the drying temperature depends on the type of the solvent used, but is usually in the range of 30 to 250 ° C. Drying under reduced pressure is also effective. In order to control the generation of voids and obtain a homogeneous silica-organic polymer composite thin film, it is also preferable to use a method of gradually increasing the temperature while drying.
  • a silica-organic polymer composite thin film can be obtained.
  • the organic polymer (B) is dispersed in the composite thin film in a state of a molecular chain without meeting.
  • the composite thin film becomes transparent to visible light having a wavelength of 0.4 to 0.7 m.
  • the silica-organic polymer composite thin film thus obtained has a lower dielectric constant and a thicker film-forming property than the silicon dioxide thin film obtained using only alkoxysilane. Therefore, it can be used as it is as an insulating layer for a multilayer wiring structure of a semiconductor element.
  • this composite thin film into a porous silica thin film for the purpose of obtaining an insulating layer having a lower dielectric constant for a multilayer wiring structure of a semiconductor device.
  • the conversion of the composite thin film into a porous silica thin film is performed by removing the organic polymer (B) from the composite thin film.
  • the molecular chain of the organic polymer (B) in the silica-organic polymer composite thin film is occupied.
  • the remaining space remains unbroken as pores in the porous silica thin film.
  • a porous silicon thin film having a high porosity and a low dielectric constant can be obtained.
  • Examples of the method for removing the organic polymer (B) include heating and baking, plasma treatment, and solvent extraction. However, it is preferable because it can be easily carried out in the current semiconductor device manufacturing process. What is new is heating and firing.
  • the firing temperature depends on the type of the organic polymer (B) used, but is usually in the range of 300 ° C to 500 ° C, preferably in the range of 350 ° C to 450 ° C. It is. If the temperature is higher than 500 ° C., the pores of the porous silicon thin film are crushed and the film thickness is significantly reduced, and the dielectric constant increases. If the temperature is lower than 300 ° C, the decomposition of the organic polymer (B) is not sufficient. Therefore, there is a risk that a porous silicon thin film having a low dielectric constant cannot be obtained because an organic substance derived from the organic polymer (B) remains as an impurity in the obtained porous silicon thin film.
  • the firing time is in the range of 1 minute to 24 hours. If the amount is less than 1 minute, the decomposition of the organic polymer (B) does not proceed sufficiently, and the organic substance derived from the organic polymer (B) remains as an impurity in the obtained porous silica thin film, so that the dielectric constant is reduced. There is a risk that a porous silica thin film with a low rate cannot be obtained. Further, since the thermal decomposition of the organic polymer (B) is usually completed within 24 hours, calcination for a longer time does not make sense.
  • the firing may be performed in an inert atmosphere such as nitrogen, argon, or helium, or may be performed in an oxidizing atmosphere such as a gas containing oxygen gas, for example, air.
  • an oxidizing atmosphere such as a gas containing oxygen gas, for example, air.
  • firing in an oxidizing atmosphere tends to lower the firing temperature and shorten the firing time.
  • the firing is performed in an atmosphere containing ammonia or hydrogen, the above-mentioned alkoxysilane
  • the remaining silanol groups in the product obtained by the hydrolysis and dehydration condensation reaction of (A) react to be hydrogenated or nitrided, which can reduce the hygroscopicity of the porous silica thin film.
  • the silica-organic polymer composite thin film and the porous silica thin film obtained by using the composition of the present invention can be processed into an arbitrary shape by a known method used in a current semiconductor device manufacturing process. Can be done. It is also effective to subject the obtained porous silica thin film to a surface treatment with a silylation agent to reduce water absorption and improve adhesion to other substances.
  • Examples of silinolelating agents that can be used include trimethyl ethoxy silane, trimethyl ethoxy silane, dimethyl dimethoxy silane, dimethyl ethoxy silane, methyl triethoxy.
  • Alkoxy silanes such as xyloxysilane, phenyl trimethoxy xylan, phenyl triethoxy silane, trimethyl chloro silane, dimethyl chloro silane, methino ole tri chloro Locylane, Methylcirocloane, Dimethinochlore Locirane, Dimethinolebi Noreclorosilane, methylvinyl dichlorosilane, methinochlor
  • Mouth Mouth Silanes Hexametyldisilazane, N, N'-bis (trimethylsilyl phenol) urea, N-trimethylsilyl phenol acetamide, dimethynole trimethylsilyl
  • Examples include silazanes such as rumin, getyl triethylsilyllamin, and trimethylsilylimidazole.
  • Examples of silylation methods include application, dipping, and steam exposure.
  • the composition of the present invention By using the composition of the present invention, special equipment is used. Without difficulty, the method can be easily implemented in the current semiconductor device manufacturing process, and it has a low-permittivity silica-organic polymer composite thin film and small pores and a high porosity. In addition, since a porous dielectric thin film having a low dielectric constant can be obtained, the composition of the present invention is used as an insulating layer for a multilayer wiring structure having a low dielectric constant, particularly for an LSI multilayer wiring structure. It is extremely useful as a raw material for the insulating layer.
  • the evaluation of the silica-organic polymer composite thin film and the porous silica thin film was performed using the following apparatus.
  • N 2 BET A nitrogen adsorption type surface area measuring device manufactured by Shimadzu Corporation, Japan was used.
  • the number-average molecular weight (Mn) of the number of organic polymers used in the present invention was measured by gel permeation chromatography (GPC) using tetrahydrofuran as a carrier solvent, and was measured by standard single-molecule analysis. It was determined using dispersed polystyrene.
  • TEOS Tetraetoxysilane
  • MT ES Methyl triethoxysilane
  • TMO S Tetramethoxysilane
  • E A Echinole acetate
  • Example 1 The same operation as in Example 1 was performed except that the types of the alkoxysilane, the organic polymer, and the solvent were changed. Table 1 shows the types and amounts of the alkoxysilanes, organic polymers and solvents used, and the results.
  • the gel weight is a calculated value of the weight of the product obtained when all of the starting alkoxysilanes undergo hydrolysis and dehydration condensation.
  • the obtained organic polymer thin films were all transparent.
  • Example 2 The same operation as in Example 1 was performed except that polyvinyl ethyl ether (PVEE) and polyvinylidene fluoride (PVdF) were used as the organic polymers. Table 2 shows the results. In Comparative Examples 1 to 4, a transparent and homogeneous gel could not be obtained.
  • PVEE polyvinyl ethyl ether
  • PVdF polyvinylidene fluoride
  • Polyethylene glycol (number-average molecular weight 200,000), polycaprolactone (number-average molecular weight 600,000) or polypentamethylene-hexamethylene carbonate (number-average molecular weight 200,000) 0 17 g of N, N-dimethylformamide (DMF), N-methylvinylidone ( ⁇ ), ethyl acetate or tetrahydrofuran (THF) with DMF mixture 1.
  • DMF N-dimethylformamide
  • N-methylvinylidone
  • ethyl acetate or tetrahydrofuran
  • THF tetrahydrofuran
  • Ethyl silicate 40 (manufactured by Colcoat Co., Japan) 0.43 g, polypropylene glycol (number average molecular weight 400 000) 0.17 g was dimethylaminoformamide 1. It was dissolved in a mixed solvent of 2 g and 0.8 g of ethanol. 0.2 g of a 0.1 N aqueous ammonia solution was added to this solution, and the mixture was cast in a Petri dish to form a thin film. The Petri dish was sealed, and left at room temperature overnight. Then, ethyl silicate 40 was gelled.
  • Ethyl silicate 40 (manufactured by Colcoat, Japan) 0.43 g and polystyrene (number average molecular weight 800.000) 0.17 g are dissolved in methylethylketone 2.0 g.
  • the ethyl silicate 40 was allowed to gel overnight. Thereafter, the film was gradually heated to 120 ° C with a temperature of 60 ° C, and the thin film was dried by depressurizing the film while maintaining the temperature at 120 ° C. An organic polymer composite thin film was obtained.
  • TEOS tetraethoxysilane
  • polyethylene glycol number-average molecular weight: 200,000
  • ether acetate weight ratio: 21
  • 0.5 g of water and 0.15 g of 0.1 N hydrochloric acid were added thereto, followed by stirring for 4 hours.
  • the resulting solution was spin-coated on a silicon wafer with a titanium nitride thin film at a speed of 150 rpm to form a thin film, and the thin film was heated at 120 ° C for 1 hour.
  • a porous silica thin film was manufactured in the same manner as in Example 28 except that the amount of polyethylene dalicol was changed, and its dielectric constant was measured. Table 5 shows the results. The dielectric constant of each of the obtained porous silica thin films showed a low value.
  • MTMS methyl trimethoxysilane
  • poly (ethylene glycol) number average molecular weight: 200,000)
  • N-methylpyrrolidone propylene glycol methyl acetate
  • 2Z1 / 4 weight ratio: 2Z1 / 4
  • 0.30 g of water and 0.15 g of 0.1 N nitric acid were added, and the mixture was stirred for 3 hours.
  • the resulting solution is spin-coated on a silicon wafer with a titanium nitride thin film at a speed of 150 rpm to form a thin film, and the obtained thin film is heated at 120 ° C for 1 hour.
  • a porous silica thin film was manufactured in the same manner as in Example 31 except that the amount of added polyethylene dalicol was changed, and the dielectric constant was measured. Table 6 shows the results. The dielectric constants of the obtained porous silica thin films were all low. Table 6
  • MTMS methyltrimethoxysilane
  • polyethylene glycol number average molecular weight: 20000
  • MTMS methyltrimethoxysilane
  • 0.1 N nitric acid 0.15 g were added, and the mixture was stirred for 2 hours.
  • This solution was spin-coated on a silicon wafer at a speed of 150 rotations per minute to form a thin film, and the thin film was heated at 120 ° C for 1 hour to gel MTMS. The solvent was removed to obtain a silica-organic polymer composite thin film having a thickness of 0.887 m.
  • methyltrimethoxysilane and 0.1 g of polysebacic anhydride are dissolved in 1.0 g of N, N-dimethylmethylphenolamide and added to water.
  • 0.05 g and 0.1 g of 0.1 N hydrochloric acid were added, and the mixture was stirred at room temperature for 1 hour.
  • This solution was cast on a watch plate made of polytetrafluoroethylene to form a thin film, and the obtained thin film was allowed to stand at 100 ° C. for 1 hour to gel methyltrimethoxysilane. Further, the thin film was vacuum-dried at 180 ° C to obtain a silica-organic polymer composite thin film.
  • the composite film was transparent.
  • the alkoxysilane-organic polymer composition for producing an insulating thin film of the present invention is molded to form a thin film, and the alkoxysilane in the obtained thin film is hydrolyzed and dehydrated and condensed to gel the alkoxysilane.
  • the silica-organic polymer composite thin film obtained by removing the remaining solvent and the porous silica thin film obtained by removing the organic polymer from the composite thin film are made of a dielectric material. It has a low efficiency and is not only suitable as an insulating layer for a multilayer wiring structure of a semiconductor device, but also can be manufactured by a method which can be easily implemented in a current semiconductor device manufacturing process. Therefore, the alkoxysilane-organic polymer composition for producing an insulating thin film of the present invention can be extremely advantageously used in producing an insulating layer for a multilayer wiring structure of a semiconductor device such as LSI.

Description

明 細 書 絶縁薄膜製造用アルコ キシシラ ン一有機ポ リ マー組成物、 及 びその用途 技術分野
本発明は、 絶縁薄膜製造用アルコキシシラン—有機ポリ マ 一組成物に関する。 更に詳しく は、 本発明は、 ( A ) 特定の アルコキシシラ ン、 ( B ) 特定の有機ポ リ マー、 並びに ( C ) アルコキシシラ ン ( A ) 及び有機ポリ マー ( B ) の溶媒であ つてア ミ ド基及び/又はエステル基を有する有機溶媒を含む 溶媒、 を包含してなる絶縁薄膜製造用アルコキシシラ ン一有 機ポリ マー組成物に関する。 本発明の組成物を原料と して用 . いるこ とによ リ 、 現行の半導体素子製造プロセスにおいて容 易に実施可能な方法で、 誘電率の低い、 半導体素子の多層配 線構造体用の絶縁層を製造する こ とが可能と なる。 また本発 明は、 上記の組成物を用いて得られる薄膜よ リ構成されてな る絶緣層及びその上に形成された配線を包含する、 半導体素 子の多層配線構造体、 及び該多層配線構造体を包含してなる 半導体素子に関する。
従来技術
L S I をは じめ とする半導体素子の多層配線構造体用の絶 縁層の素材と しては、 従来誘電率が 4 . 0 〜 4 . 5 であるシ リ カなどが一般的に用いられてきた。 しかし近年、 L S I 等 の半導体素子の配線は高密度化の一途をたどってぉ リ 、 これ に伴って基板上の隣接する配線間の距離が狭まっている。 そ の結果、 絶縁体を挟んで隣接する配線がコ ンデンサーと して 機能し う る よ う になる。 こ の時、 絶縁体の誘電率が高いと コ ンデンサ一の静電容量が増大し、 その結果配線を通じて伝達 される電気信号の伝達の遅延が顕著となるため、 問題となつ ている。 このよ う な問題を解決するため、 多層配線構造体用 の絶緣層の素材と して、 誘電率のょ リ低い物質を用いる こ と が検討されている。
現在知られている最も誘電率が低い物質はポ リ テ ト ラフル ォロエチ レンであ リ 、 その誘電率の値は 1 . 9程度であるが、 一般にフ ッ素系高分子は基板や配線と の密着性や耐熱性が劣 るため、'多層配線構造体用の絶縁層の素材と しては問題があ リ 、 その上近年、 絶縁層の誘電率を 1 . 9未満とする こ とが 要求されるよ う になつてきている。
そこで、 例えば既存の素材を多孔質に して、 誘電率が 1 で ある空気との複合体と したものから構成された、 誘電率がよ リ低い絶緣層を得よ う とする試みがなされている。 多孔質と して代表的なものはシリ カエア口ゲルである。 しかしシリ カ エア口ゲルの製造には超臨界乾燥を行う こ とが必須である。 そのためシリ 力エア口ゲルの製造には長時間を要し、 また非 常に繁雑な工程と特別な装置を必要とするので、 シリ カエア 口ゲルから構成された絶縁層の製造工程を、 現行の半導体素 子製造プロセス に導入する こ とは事実上不可能である。
また米国特許第 5, 4 7 2 , 9 1 3 号公報には、 特殊な手 法を用いて超臨界乾燥を行わずに多孔質シリ カを得る方法が 開示されている。 しかし、 そのプロセスはやは リ極めて複雑 であるため、 この方法を用いて、 多孔質シリ カから構成され た絶縁層の製造工程を現行の半導体素子製造プロセスに導入 するこ とは困難である。
—方、 J o u r n a l o f M a c r o m o l e c u l a r s c i e n c e — C h e m i s t r y , A 2 7 , 1 3 一 1 4 p . 1 6 0 3 ( 1 9 9 0 ) には、 ある特殊な有機ポ リ マ一の存在下でアルコキシシラ ンの加水分解 · 脱水縮合反 応を起こ させてアルコキシシランをゲル化する こ と によって、 均質な有機一無機複合体を得、 得られた複合体を加熱 · 焼成 し、 有機ポリ マーを熱分解して除去する こ とによ り 、 多孔質 シリ カを得る方法が開示されている。 しかしこ の方法で用い る こ とができ る と されている有機ポリ マーはいずれも、 その 全量を熱分解して除去するために空気中 6 0 0 °C以上に加熱 するこ とが必要であるため、 この方法を用いて、 多孔質シリ 力から構成された絶縁層の製造工程を現行の半導体素子製造 プロセスに導入するこ とはできない。
更 tこ、 上 J o u r n a l o f M a c r o m o l e c u 1 a r S c i e n c e の方法に類似 した方法にょ リ多孔 質薄膜等を製造する方法と して、 日本国特開平 8 — 2 4 5 2
7 8号及び 7 — 1 0 0 3 8 9号、 並びに WO 9 7 / 0 6 8 9
6 に記載の方法が知 られている。
日本国特開平 8 — 2 4 5 2 7 8 号には、 ポ リ エチレンダリ コールを添加した金属アルコキシ ド溶液を基板にコーティ ン グした後、 加熱 · 焼成する こ と によ リ セラ ミ ッ ク ス多孔質薄 膜を製造する方法が開示されている。
また、 日本国特開平 7 — 1 0 0 3 8 9 号には、 有機ポリ マ 一の存在下で金属アルコ キシ ド等を加水分解 · 縮合させた後、 焼成するこ と によ リ 石油精製用触媒担体を製造する方法が開 示されている。
更に、 WO 9 7 Z 0 6 8 9 6 には、 金属アルコキシ ド、 酸 及び有機ポリ マーを、 第 1 溶媒及び水からなる混合溶媒に溶 解する ごと によ リ コ一ティ ング溶液を調製し、 この溶液をガ ラ ス基板に塗布し、 基板上に形成されたゲルフ ィ ルムを乾燥 し、 ゲルフ ィ ルムを第 2溶媒に浸し、 膜の中から有機ポリ マ 一を抽出させた後、 ゲルフ ィ ルムを焼成する こ と によ リ多孔 質金属ォキシ ドフ ィ ルムを製造する方法が開示されている。
し力 し、 日本国特開平 8 — 2 4 5 2 7 8号及び 7 — 1 0 0 3 8 9号、 並びに W0 9 7 / 0 6 8 9 6 の方法では、 有機ポ リ マ一と金属アルコ キシ ドの溶媒と してアルコールが用いら れている。 この場合、 後述する よ う な理由によ り得られる多 孔質の空隙率が小さ く なって しま う ため、 半導体素子の多層 配線構造体用の絶緣層と して適する、 誘電率の低い多孔質を 得る こ と はできない。
以上よ リ 明 らかな通 リ 、 現行の半導体素子製造プロ セス に おいて容易に実施可能な方法で、 誘電率の低い、 半導体素子 の多層配線構造体用の絶縁層を製造する こ とは不可能であつ た。 発明の概要
このよ う な状況下において、 本発明者らは、 誘電率が低く 、 且つ現行の半導体素子製造プロ セスにおいて容易に実施可能 な方法で製造する こ とが可能な、 半導体素子の多層配線構造 体用の絶縁層を開発すべく 鋭意研究を行った。 その結果意外 にも、 ( A ) 特定のアルコ キシシラン、 ( B ) 特定の有機ポ リ マー、 '並びに ( C ) アルコキシシラ ン ( A ) 及び有機ポ リ マー ( B ) の溶媒であってア ミ ド基及びノ又はエステル基を 有する有機溶媒を含む溶媒、 を包含してなる組成物を成形し て薄膜を形成させ、 得られた薄膜を熱処理する こ と によって 得られるシリ カ一有機ポ リ マー複合体薄膜、 及び複合体薄膜 ょ リ 有機ポリ マーを除去 して得られる多孔質シリ カ薄膜が、 誘電率が低く 、 半導体素子の多層配線構造体用の絶縁層と し て適しているのみな らず、 現行の半導体素子製造プロセスに おいて容易に実施可能な方法で製造する こ とが可能である こ と を見いだし、 本発明を完成 した。
従って、 本発明の一つの 目的は、 誘電率が低く 、 且つ現行 の半導体素子製造プロセスにおいて容易に実施可能な方法で 製造する こ と が可能な、 半導体素子の多層配線構造体用の絶 縁層を製造するための組成物を提供する こ とにある。
また、 本発明の他の一つの 目的は、 上記の組成物を用いて 得られる絶縁性複合体薄膜、 及び該複合体薄膜から得られる 多孔性シリ 力薄膜、 な らびにそれらの用途を提供する こ とに ある。
本発明の上記及び他の諸目的、 諸特徴並びに諸利益は、 以 下に述べる詳細な説明及び請求の範囲の記載から明らかにな る。 発明の詳細な説明
本発明によれば、 ( A ) 下記式 ( 1 ) ( 5 )
S (O R ) ( 1
R 1 S i (O R) ( 2 ) 、
R 1 R 2 S i (O R ) ( 3 ) 、
R 1 R 2 R 3 S i O R ( 4 ) 、 及び
(R O) 3 S i - R 4 - S i (O R ) ( 5 )
(式中、 各 Rは、 各々独立に、 炭素数 1 6の直鎖状又は分 岐状アルキル基を表 し、 R R 2及び R 3は、 各々独立に 水素原子又は炭素数 6 の 1価の炭化水素基を表し、 R は炭素数 1〜 6 の 2価の炭化水素基を表わす。 ) によってそれぞれ表わされる ( 1 ) テ ト ラアルコキシシラン、
( 2 ) ト リ アルコ キ シシラ ン、 ( 3 ) ジアルコ キシシラ ン、
( 4 ) モノ アノレコ キ シシラ ン、 ( 5 ) ト リ アルコ キシシラ ン 二量体よ リ なる群から選ばれる少なく と も 1種のアルコキシ シラ ン、 但し、 上記アルコ キシシラン ( 3 ) 及び ( 4 ) ょ リ 選ばれる少なく と も 1種のアルコキシシラ ンは、 上記アルコ キシシラ ン ( 1 ) 、 ( 2 ) 及び ( 5 ) よ り選ばれる少なく と も 1種のアルコキシシラ ンと組合わせて用いる ;
( B ) 炭素数が 2〜 1 2のエーテル基含有繰リ 返し単位を有 する脂肪族ポ リ エーテル鎖、 炭素数が 2〜 1 2 のエステル基 含有繰リ返し単位を有する脂肪族ポ リ エステル鎖、 炭素数が 2 ~ 1 2のカーボネー ト基含有繰 り返し単位を有する脂肪族 ポリ カーボネー ト鎖、 及び炭素数が 2〜 1 2のア ンハイ ドラ ィ ド基含有繰リ 返し単位を有する脂肪族ポ リ アンハイ ドライ ド鎖よ リ なる群から選ばれる少な く と も 1種の脂肪族ポリ マ 一鎖から主に構成される主鎖を有する少な く と も 1種の有機 ポリ マー ; 及び
( C ) 該アルコキシシラ ン (A) 及び該有機ポ リ マー ( B ) の溶媒であって、 ア ミ ド結合を有する有機溶媒及びエステル 結合を有する有機溶媒よ リ なる群から選ばれる少なく と も 1 種の有機溶媒を含有する溶媒、
を包含してなる、 絶縁薄膜製造用アルコ キシシラ ン一有機ポ リ マ一組成物が提供される。
次に、 本発明の理解を容易にするために、 まず本発明の基 本的諸特徴及び好ま しい態様を列挙する。
( A) 下記式 ( 1 ) 〜 ( 5 )
S i ( O R ) 4 ( 1 ) ,
R 1 S i ( O R ) ( 2 ) ,
R 1 R 2 S i (O R) ( 3 ) ,
R 1 R 2 R 3 S i O R ( 4 ) , 及び
( R〇) 3 S i — R 4— S i ( O R ) ( 5 )
(式中、 各 Rは、 各々独立に、 炭素数 1 〜 6 の直鎖状又は分 岐状アルキル基を表し、 R R 2及び R 3は、 各々独立に、 水素原子又は炭素数 1 〜 6 の 1 価の炭化水素基を表し、 R 4 は炭素数 6 の 2価の炭化水素基を表わす。 )
によってそれぞれ表される ( 1 ) テ ト ラアルコキシシラ ン, ( 2 ) ト リ アルコキシシラ ン, ( 3 ) ジアルコキシシラ ン, ( 4 ) モノ アルコ キシシラ ン及び ( 5 ) ト リ アルコ キシシラ ン 2量体よ リ なる群から選ばれる少なく と も 1 種のアルコキ シシラン、 但し、 上記アルコキシシラン ( 3 ) 及び ( 4 ) よ リ選ばれる少なく と も 1 種のアルコキシシランは、 上記アル コキシシラン ( 1 ) 、 ( 2 ) 及び ( 5 ) ょ リ選ばれる少なく と も 1種のアルコキシシラ ンと組合わせて用いる ;
( B ) 炭素数が 2 2 のエーテル基含有繰リ 返し単位を有 する脂肪族ポリ エーテル鎖、 炭素数が 2 2 のエステノレ基 含有繰リ返し単位を有する脂肪族ポ リ エステル鎖、 炭素数が 2〜 1 2 のカーボネー ト基含有繰リ 返し単位を有する脂肪族 ポ リ カーボネー ト鎖、 及び炭素数が 2〜 1 2 のアンハン ドラ ィ ド基含有繰り返し単位を有する脂肪族ポリ アンハイ ドライ ド鎖よ リ なる群よ リ選ばれる少な く と も 1 種の脂肪族ポリ マ 一鎖から主に構成される主鎖を有する少なく と も 1 種の有機 ポ リ マー ; 及び
( C ) 該アルコキシシラ ン ( A ) 及び該有機ポ リ マー ( B ) の溶媒であって、 ア ミ ド結合を有する有機溶媒及びエステル 結合を有する有機溶媒よ リ なる群よ リ選ばれる少なく と も 1 種の有機溶媒を含有する溶媒、
を包含してなる、 絶縁薄膜製造用アルコキシシラ ン一有機ポ リ マー組成物。
2 . 該アルコキシシラン ( A ) が、 少なく と も 1 種の上記ァ ルコ キシシラ ン ( 1 ) と 、 上記アルコ キシシラ ン ( 2 ) 〜
( 5 ) よ り なる群から選ばれる少なく と も 1 種のアルコキシ シランとの混合物である こ と を特徴とする、 前項 1 に記載の 組成物。
3 . 該アルコキシシラ ン ( A ) が、 少なく と も 1 種の上記 ト リ アルコキシシラン ( 2 ) であるカ 或いは少なく と も 1 種 の上記 ト リ アルコ キシシラ ン ( 2 ) と 上記アルコ キシシラ ン ( 1 ) 及び ( 3 ) 〜 ( 5 ) よ り なる群から選ばれる少なく と も 1種のアルコキシシランとの混合物である こ と を特徴とす る、 前項 1 に記載の組成物。
4. 該溶媒 ( C ) が、 少なく と も 1種のアルコールを更に含 有する こ とを特徴とする、 前項 1 〜 3のいずれかに記載の組 成物。
5 . 該アルコキシシラ ン ( A ) の加水分解 · 脱水縮合反応を 促進するための触媒と して機能するこ と のでき る、 少なく と も 1種の酸を更に含有するこ とを特徴とする、 前項 1 〜 4の いずれかに記載の組成物。
6 . 該有機ポ リ マー ( B ) が、 数平均分子量が 2 0 0から 1 0 0万でぁ リ 、 炭素数が 2〜 1 2のエーテル基含有繰 リ返し 単位を有するポリ アルキ レンダリ コールからなる脂肪族ポ リ エーテルであるこ と を特徴とする、 前項 1 〜 5 のいずれかに 記載の組成物。
7. 該組成物における該有機ポリ マー ( B ) の含有量が、 該 アルコキシシラ ン (A) の全量が加水分解 · 脱水縮合されて 得られる生成物 1重量部に対して、 0. 1 ~ 1 0重量部であ る こ と を特徴とする、 前項 1 〜 6 のいずれかに記載の組成物。 8 . 前項 1 〜 7のいずれ力 に記載の組成物を成形する こ とに よ リ薄膜を形成させ、
該薄膜中の該アルコキシシラ ン ( A ) を、 その加水分解 · 脱水縮合反応によってゲル化させ、 そ して
該薄膜中に残存する溶媒 ( C ) を除去する
こ と を包含する方法によって得られる、 シ リ カ一有機ポ リ マ 一複合体薄膜。
9 . 膜厚が 0 . 1 〜 : L O O mである こ と を特徴とする、 前 項 8 に記載のシ リ カ一有機ポ リ マー複合体薄膜。
1 0 . 波長 0 . 4〜 0 . 7 mの可視光に対し透明である こ と を特徴とする、 前項 8 または 9 に記載のシリ カ一有機ポリ マー複合体薄膜。
1 1 . 複数の絶緣層及びその上に形成された配線を包含し、 該絶縁層の少な く と も 1層が前項 8〜 1 0のいずれかに記載 のシリ カ—有機ポ リ マー複合体薄膜よ り構成されてなるこ と を特徴とする、 多層配線構造体。
1 2 . 前項 1 1 の多層配線構造体を包含してなる半導体素子, 1 3 . 前項 8〜 1 0 のいずれかに記載のシリ カ一有機ポリ マ 一複合体薄膜から該有機ポ リ マーを除去する こ と によって得 られる、 多孔性シリ カ薄膜。
1 4 . 平均空孔径が 1〜 5 0 0 n mであるこ と を特徴とする 前項 1 3 に記載の多孔性シ リ カ薄膜。
1 5 . 該シ リ カ—有機ポ リ マー複合体薄膜からの該有機ポリ マーの除去を、 該複合体薄膜を 4 5 0 °C以下の温度で加熱 - 焼成する こ と によって行な う こ と を特徴とする、 前項 1 3又 は 1 4 に記載の多孔性シ リ カ薄膜。
1 6 . シリ ル化剤にょ リ表面処理されている こ と を特徴とす る、 前項 1 3〜 1 5 のいずれかに記載の多孔性シ リ カ薄膜。
1 7 . 複数の絶縁層及びその上に形成された配線を包含し、 該絶緣層の少なく と も 1 層が前項 1 3〜 1 6 のいずれかに記 載の多孔性シ リ カ薄膜よ り構成されてなるこ と を特徴とする . 多層配線構造体。
1 8 . 前項 1 7 の多層配線構造体を包含してなる半導体素子 c 以下、 本発明を詳細に説明する。
本発明のアルコキシシラ ン—有機ポリ マー組成物の (A) 成分と して用いられる少な く と も 1 種のアルコ キシシランは、 下記式 ( 1 ) 〜 ( 5 ) :
S i ( 0 R ) ( 1 ) 、
R 1 S i ( O R ) ( 2 ) 、
R 1 R 2 S i ( O R ) ( 3 ) 、
R 1 R 2 R 3 S i O R ( 4 ) 、 及び
( R O ) 3 S i — R 4— S i ( O R ) 3 ( 5 )
(式中、 各 Rは、 各々独立に、 炭素数 1 〜 6 の直鎖状又は分 岐状アルキル基を表 し、 R R 2及び R 3は、 各々独立に、 水素原子又は炭素数 1 〜 6 の 1 価の炭化水素基を表し、 R 4 は炭素数 1 〜 6 の 2価の炭化水素基を表わす。 )
によってそれぞれ表わされる ( 1 ) テ ト ラアルコキシシラン、 ( 2 ) ト リ アルコ キ シシラ ン、 ( 3 ) ジアルコ キシシラ ン、 ( 4 ) モノ アノレコ キシシラ ン、 ( 5 ) ト リ アルコ キシシラ ン 2量体よ リ なる群から選ばれる。 式中の R と して好適なアル キル基の例は、 メ チル基、 ェチル基、 n —プロ ピル基、 i — プロ ピル基、 n —ブチル基、 i —ブチル基及び t —ブチル基 である。 また、 式中の R R 2及び R 3と して好適な原子又 は 1 価の炭化水素基の例は、 水素原子、 メ チル基及びフエ二 ル基である。 更に、 式中の R 4と して好適な 2価の炭化水素 基の例は、 メ チレン基、 エチレン基、 イ ソプロ ピ リ デン基及 びフ エ 二 レ ン基である。
上記アルコ キシシラ ン ( A ) は、 上記アルコキシシラン ( 1 ) 、 ( 2 ) 及び ( 5 ) ょ リ なる群から選ばれる少なく と も 1種のアルコ キシシラ ンに、 所望であれば、 上記アルコキ シシラ ン ( 3 ) 及び ( 4 ) ょ リ なる群から選ばれる少なく と も 1種のアルコキシシラ ンを添加する こ と によ リ得られるァ ルコキシシラ ン ( A ) である こ と が好ま しい。 特に、 上記ァ ノレコキシシラ ン ( A ) が、
1 ) 少なく と も 1 種の上記アルコキシシラ ン ( 1 ) と 、 上記 アルコキシシラ ン ( 2 ) 〜 ( 5 ) ょ リ なる群から選ばれる少 なく と も 1 種のアルコ キシシラ ンと の混合物 ;
2 ) 少なく と も 1 種の上記 ト リ アルコキシシラ ン ( 2 ) ; 又 は
3 ) 少なく と も 1 種の上記 ト リ アルコキシシラ ン ( 2 ) と上 記アルコキシシラ ン ( 1 ) 及び ( 3 ) 〜 ( 5 ) ょ リ なる群カ ら選ばれる少なく と も 1 種のアルコキシシランとの混合物 であるこ とが好ま しい。
上記アルコキシシラ ン ( 3 ) 及び ( 4 ) ょ リ選ばれる少な く と も 1 種のアルコキシシラ ンは、 上記アルコキシシラン
( 1 ) 、 ( 2 ) 及び ( 5 ) ょ リ選ばれる少なく と も 1 種のァ ルコキシシラ ンと組合わせて用いる。
上記アルコ キシシラ ン ( A ) が、 上記アルコキシシラン
( 3 ) 及び ( 4 ) ょ リ なる群から選ばれる少な く と も 1 種の アルコキシシラ ンである と 、 本発明の絶縁薄膜製造用組成物 を成形して得られる薄膜中の上記アルコ キシシラ ン ( A) の 加水分解 · 脱水縮合反応を起こ させた場合に、 上記アルコキ シシラ ン (A) をゲル化させる こ とができない。 従って後述 する本発明のシリ カ—有機ポ リ マー複合体薄膜及び多孔性シ リ カ薄膜を得る こ とができない。
上記 1 ) の態様において、 上記アルコ キシシラ ン ( 2 ) 〜
( 5 ) よ リ なる群から選ばれる少な く と も 1 種のアルコキシ シランの含有量を低下させ、 上記テ ト ラアルコキシシラン
( 1 ) の含有量を高める と 、 上記アルコ キシシラ ン ( A) 力 S 加水分解 · 脱水縮合されて得られる生成物の架橋密度が高ま リ 、 後述する本発明のシ リ カ一有機ポ リ マー複合体薄膜及び 多孔性シリ 力薄膜の機械的強度が向上する。
一方、 上記 1 ) の態様において、 上記テ ト ラアルコキシシ ラン ( 1') の含有量を低下させ、 上記アルコキシシラ ン ( 2 ) 〜 ( 5 ) よ り なる群から選ばれる少な く と も 1 種のアルコキ シシラ ンの含有量を高める と 、 上記アルコキシシラ ン (A) が加水分解 · 脱水縮合されて得られる生成物の架橋密度が低 下する こ とによ リ 、 生成物にク ラ ッ ク (ひび割れ) が生じに く く なる。 また、 ケィ素原子に炭化水素基が直結している場 合には炭化水素基の影響によ リ 、 上記生成物の吸湿性が大幅 に低下する。
上記アルコ キシシラ ン ( 1 ) 〜 ( 5 ) の各々 は、 オ リ ゴマ —化 した ものを用いて も よ い。 ま た上記アルコ キシシラ ン
( 1 ) 〜 ( 3 ) 及び ( 5 ) の各々 は、 部分加水分解した もの を用いて も よ い。 ま た、 上記アルコ キシシラ ン ( 1 ) 〜 ( 5 ) の各々 と縮合 させる こ と が可能な他の任意の金属アルコ キシ ド、 例えば、 アル ミ ニ ウ ム、 チタ ン、 ジルコ ニ ウム、 ホ ウ素、 マグネシウム、 ゲルマニ ウム、 亜鉛、 スズ、 ニオブ、 鉛、 ス ト ロ ンチウム、 リ チ ウム、 ノく リ ウ ムの、 C 1〜 C 6のアルコ キ シ ド、 好ま し く はァノレ ミ ニ ゥ ム、 チタ ン、 ジルコ ニ ウ ムの C ,〜 C 6アルコ キシ ドを上記アルコ キシシラ ン ( 1 ) 〜 ( 5 ) に添加する こ と も可能である。 添加量は、 アルコ キシシラ ン
( A ) の重量に対し 3 0重量%以下が好ま しい。
本発明において、 上記アルコ キシシラ ン ( 1 ) 〜 ( 5 ) と して用いる こ と ができ るアルコ キシシラ ンの具体的な例 と し て、 テ ト ラ メ ト キシシラ ン、 テ ト ラエ ト キシシラ ン、 テ ト ラ
( n —プロ ボキシ) シラ ン、 テ ト ラ ( i 一プロ ボキシ) シラ ン、 テ ト ラ ( n —ブ ト キシ) シラ ン、 テ ト ラ ( t 一ブ ト キシ) シラ ン、 ト リ メ ト キシシラ ン、 ト リ エ ト キシシラ ン、 メ チル ト リ メ ト キシシラ ン、 メ チル ト リ エ ト キシシラ ン、 フ エニル ト リ メ ト キシシラ ン、 フ エニル ト リ エ ト キシシラ ン、 ジメ チ ノレジメ ト キシシラ ン、 ジメ チルジェ ト キシシラ ン、 ジフ エ二 ノレジメ ト キシシラ ン、 ジフ エ二ルジェ ト キシシラ ン、 フエ二 ルジメ ト キシシラ ン、 フ ヱニルジェ ト キシシラ ン、 メ チルジ メ ト キシシラ ン、 メ チルジェ ト キシシラ ン、 フ エニルメ チル ジメ ト キシシラ ン、 フ エ二ルメ チルジェ ト キシシラ ン、 ト リ メ チルメ ト キシシラ ン、 ト リ メ チルエ ト キシシラ ン、 ト リ フ ェ -ルメ ト キ シシラ ン、 ト リ フ エニノレエ ト キシシラ ン、 フ エ 二ルジメ チルメ ト キシシラ ン、 フ エニルジメ チルエ ト キシシ ラ ン、 ジフ エニノレメ チノレメ ト キシシラ ン、 ジフ エ二ノレメ チル エ ト キシシラ ン、 ジメ チルメ ト キシシラ ン、 ジメ チルェ ト キ シシラ ン、 ジフ エニルメ ト キシシラ ン、 ジフ エニルェ ト キシ シラ ン、 ビス ( ト リ メ ト キシシ リ ノレ) メ タ ン、 ビス ( ト リ エ ト キシシ リ ル) メ タ ン、 1 , 2 — ビス ( ト リ メ ト キシシ リ ル) ェ タ ン、 1, 2 — ビス ( ト リ エ ト キシシ リ ル) ェタ ン、 1 , 4 一 ビス ( ト リ メ ト キ シシ リ ノレ) ベンゼン、 1, 4 — ビス ( ト リ エ トキシシ リ ノレ) ベンゼンなどが挙げられる。 こ の中 でも特に、 テ ト ラ メ ト キシシラ ン、 テ ト ラエ ト キシシラ ン、 ト リ メ ト キシシラ ン、 ト リ エ ト キシシラ ン、 メ チル ト リ メ ト キシシラ ン、 メ チル ト リ エ ト キシシラ ン、 ジメ チルジメ ト キ シシラ ン、 ジメ チルジェ ト キシシラ ン、 ト リ メ チルメ ト キシ シラ ン及び ト リ メ チルェ ト キシシラ ンが好ま しい。
本発明のアルコキシシラ ン一有機ポ リ マー組成物の ( B ) 成分と して用いられる有機ポ リ マーと しては、 後述する具体 例よ リ 明らかな通リ 、 炭素数が 2〜 1 2 のエーテル基含有繰 リ返し単位を有する脂肪族ポ リ エーテル鎖、 炭素数が 2〜 1 2 のエステル基含有繰 り返し単位を有する脂肪族ポリ エステ ル鎖、 炭素数が 2〜 1 2 のカーボネー ト基含有繰リ返し単位 を有する脂肪族ポリ カーボネー ト鎖、 及び炭素数が 2 〜 1 2 のアンハイ ドライ ド基含有繰リ返し単位を有する脂肪族ポリ アンハイ ドライ ド鎖よ リ なる群から選ばれる少なく と も 1 種 の脂肪族ポリ マー鎖から主に構成される主鎖を有する有機ポ リ マーが用いられる。
上記有機ポ リ マー ( B ) は、 単独のポ リ マーであってもよ く 、 複数のポ リ マーの混合物であっても よい。 また、 有機ポ リ マー ( B ) の主鎖は、 本発明の効果を損なわない範囲で、 上記以外の任意の繰リ 返し単位を有するポ リ マー鎖を含んで いてもよ く 、 又末端に官能基を有していてもよい。 ポ リ エー テル、 ポ リ エステル、 ポ リ カーボーネー ト 、 ポ リ ア ンハイ ド ライ ドの末端は通常ヒ ドロキシル基またはカルボキシル基で あるが、 本発明における末端官能基はそれらに限定されず、 本発明の効果を損なわない範囲で、 任意の官能基によって修 飾されていても構わない。
炭素数が 2 〜 1 2 のエーテル基含有繰リ 返し単位を有する 脂肪族ポリ エーテルの例と しては、 ポ リ エチレングリ コール、 ポ リ プロ ピレングリ コール、 ポリ ト リ メ チ レンダリ コール、 ポリテ ト ラメ チレングリ コール、 ポリ ペンタメ チレングリ コ ール、 ポリへキサメ チレングリ コール、 ポリ ジォキソラン、 ポ リ ジォキセパンなどのアルキレングリ コール類を挙げる こ とができる。
炭素数が 2 〜 1 2 のエステル基含有繰リ返し単位を有する 脂肪族ポ リ カーボネー ト の例と しては、 ポ リ グ リ コ リ ド、 ポ リ カプロ ラ ク ト ン、 ポ リ 力 プロ ラ ク ト ン ト リ オール、 ポ リ ピ バロ ラ ク ト ン等の、 ヒ ド ロ キ シカルボン酸の重縮合物ゃラ ク ト ンの開環重合物及びポ リ エチ レ ンォキサレー ト 、 ポ リ ェチ レンス ク シネー ト 、 ポ リ エチ レンアジペー ト 、 ポ リ エチ レン スべ レー ト 、 ポ リ エチ レンセバケー ト 、 ポ リ プロ ピ レンアジ ペー ト 、 ポ リ オキシジエチ レ ンマ ロネー ト 、 ポ リ オキシジェ チ レンア ジべ一 ト 等の、 ジカノレボン酸と アルキ レング リ コ一 ルの重縮合物、 な らびにエポキシ ドと酸無水物との開環共重 合物を挙げる こ とができ る。
炭素数が 2 〜 1 2 のカーボネー ト基含有繰り 返し単位を有 する脂肪族ポ リ カーボネー ト の例と しては、 ポ リ エチ レン力 ーボネー ト 、 ポ リ プロ ピ レンカーボネー ト 、 ポ リ ト リ メ チ レ ンカーボネー ト 、 ポ リ テ ト ラ メ チ レンカーボネー ト 、 ポ リ べ ンタ メ チ レンカーボネー ト 、 ポ リ へキサメ チ レンカーボネー ト等の、 炭酸と アルキ レンダリ コールの重縮合物を挙げるこ と ができ る。
炭素数が 2 〜 1 2 のア ンハイ ドライ ド基含有繰リ返し単位 を有する脂肪族ポリ ア ンハイ ドライ ドの例と しては、 ポリ マ ロ ニルォキシ ド、 ポ リ ア ジボイルォキシ ド、 ポ リ ピメ ロイル ォキシ ド、 ポ リ スべ ロ イルォキシ ド、 ポ リ アゼラオイルォキ シ ド、 ポリ セバコイ ノレオキシ ド等の、 ジカルボン酸の重縮合 物を挙げる こ と ができ る。 これらの中でも特に、 ポ リ エチレング リ コール、 ポ リ プロ ピレング リ コ ール、 ポリ 力プロ ラ タ ト ン、 ポ リ 力プロ ラク ト ン ト リ オール、 ポリ エチレンカーボネー ト、 ポ リ ペンタメ チ レ ンカーボネー ト、 ポ リ へキサメ チ レ ンカーボネ一 ト又はポ リ アジボイルォキシ ド、 ポ リ アゼラオイノレオキシ ド、 ポ リ セ ノくコイルォキシ ドを用レヽる こ とが好ま しい。
なお、 アルキ レング リ コールと は、 炭素数 2以上のアル力 ンの、 同一の炭素原子に結合していない 2個の水素原子を、 それぞれ水酸基に置換して得られる 2価アルコールを指す。 また、 ジカルボン酸とは蓚酸、 マロ ン酸、 コハク酸、 グルタ ノレ酸、 アジ ピン酸、 ピメ リ ン酸、 スベ リ ン酸、 ァゼラ イ ン酸、 セバシン酸な どのカルボキシル基を 2個有する有機酸を指す。
上記有機ポ リ マ一 ( B ) と して、 上記以外の脂肪族ポ リ マ 一や芳香族ポ リ マ一を用いる と、 生成するシリ カ一有機ポリ マー複合体薄膜の均質性が不十分であった リ 、 焼成温度が高 く なる等の問題が生じ、 現行の半導体素子製造プロセスで、 本発明のシリ カ一有機ポリ マー複合体薄膜や多孔性シ リ カ薄 膜を製造する こ とが困難になる。 ただし、 本発明の効果を損 なわない範囲で、 例えば粘度調整や塗布特性改善等の 目的で 他の任意のポ リ マーを添加しても差し支えない。
本発明の組成物における有機ポ リ マー ( B ) の含有量は、 後述するシリ カ一有機ポ リ マー複合体薄膜や多孔質シ リ カ薄 膜が有するべき物理学的特性によって変化するが、 通常は上 記アルコキシシラ ン ( A ) の全量が加水分解、 脱水縮合され て得られる生成物 1 重量部に対して、 1 0 _ 2〜 1 0 0重量部、 好ま しく は 1 0―1〜 1 0重量部、 さ らに好ま し く は 0 . 5 〜 5重量部の範囲である。 有機ポリ マー ( B ) の含有量が上記 生成物 1 重量部に対して 1 0— 2重量部よ リ少ないと 、 厚膜塗 布ができず、 また耐ク ラ ッ ク性が出ないため複合体の特性が 現れず、 また十分な空隙率を有する多孔質シリ カ薄膜が得ら れない。 また 1 0 0重量部よ リ 多く ても、 機械的特性が上が らないため複合体の特性が現れず、 また十分な機械的強度を 有する多孔質シリ カ薄膜が得られないため実用性に乏 しい。 有機ポリ マー ( B ) の数平均分子量は 2 0 0 〜 1 0 0万で あるこ とが好ま しい。 こ こで注目すべき こ とは、 多孔質シ リ 力薄膜の空孔の大き さは有機ポリ マーの分子量にあま リ依存 せず、 極めて小さいこ と である。 こ のこ と は本発明 と従来技 術の大きな相違点であって、 本発明の複合体や多孔質シリ カ が半導体素子の多層配線構造体用の絶縁層と して特に優れた ものである一つの理由になる。
本発明のアルコキシシラ ン—有機ポ リ マ一組成物組成物の ( C ) 成分と して用レ、られる、 上記アルコキシシラ ン (A ) 及び有機ポリ マー ( B ) の溶媒は、 ア ミ ド結合を有する有機 溶媒及びエステル結合を有する有機溶媒よ リ なる群から選ば れる少なく と も 1種の有機溶媒を含有する こ と が必要である。 このよ う な溶媒を用いないと 、 上記アルコキシシラ ン (A ) の加水分解 · 脱水縮合反応によ るアルコキシシラ ン ( A) の ゲル化が効率的に進行しないため、 後述するシ リ カー有機ポ リ マー複合体薄膜からの有機ポ リ マー ( B ) の除去の際に複 合体薄膜が収縮して しまい、 その結果空隙率の大きい、 低誘 電率の多孔質シリ 力薄膜を得る こ とができなく なって しま う。 前記した 日本国特開平 8 — 2 4 5 2 7 8 号及び 7 — 1 0 0 3 8 9号、 並びに W O 9 7 / 0 6 8 9 6 の方法において、 空隙 率の大きい多孔質が得られないのは、 このよ う な理由による。
また、 上記溶媒 ( C ) 中のア ミ ド結合を有する有機溶媒及 びエステル結合を有する有機溶媒よ リ なる群から選ばれる少 なく と も 1種の有機溶媒は、 後述するシ リ カ —有機ポリ マー 複合体薄膜において、 該薄膜中にほぼ分子状に分散した有機 ポリ マー ( B ) が会合して、 ポ リ マーの相の大き さが大き く なるこ と を抑制する効果を有する。 一般に、 本発明で用いら れる有機ポリ マー ( B ) と シ リ カ と の相互作用はそれほど大 き く ないため、 ア ミ ド結合を有する有機溶媒及びエステル結 合を有する有機溶媒よ り なる群から選ばれる少なく と も 1種 の有機溶媒を用いないと 、 後述するアルコキシシラン (A) のゲル化が進行する際に、 有機ポ リ マ一 ( B ) の分子同士が 集結する結果、 後述するシ リ カ一有機ポ リ マ一複合体薄膜中 に分散した有機ポ リ マ一 ( B ) が会合して、 ポ リ マ一の相の 大き さが大き く なる。 このよ う な複合体薄膜を用いて後述す る多孔質シ リ カ薄膜を製造する と 、 多孔質シ リ カ薄膜中に大 きな空孔が生じ、 この空孔が半導体素子中の多層配線構造体 の欠陥となる こ とが多い。
溶媒 ( C ) における、 ア ミ ド結合を有する有機溶媒及び 又はエステル結合を有する有機溶媒の含有量は、 溶媒 ( C ) 全体の 1 重量%以上である こ とが好ま しい。 含有量が 1 重量
%ょ リ少ないと 、 ア ミ ド結合を有する有機溶媒及び/又はェ ステル結合を有する有機溶媒の効果が乏 しく 、 シ リ カ —有機 ポ リ マー複合体薄膜中の有機ポリ マー ( B ) が会合して、 ポ リ マーの相の大き さが大き く な リ 、 その結果、 空孔が小さ く 空隙率が大きい多孔質シ リ カ薄膜を得られない場合がある。 本発明で用いる こ とのでき るア ミ ド結合を有する溶媒と し ては、 ホルムア ミ ド、 N — メ チルホルム ア ミ ド、 N —ェチル ホルムア ミ ド、 N, N — ジメ チルホルムア ミ ド、 N, N — ジ ェチルホルムア ミ ド、 N — メ チルァセ ト ア ミ ド、 N —ェチル ァセ ト ア ミ ド、 N, N — ジメ チルァセ ト ア ミ ド、 N, N — ジ ェチルァセ ト ア ミ ド 、 N — メ チルピロ リ ド ン 、 N —ホルミ ノレ モノレホ リ ン、 N —ァセチノレモルホ リ ン、 N —ホル ミ ルピペ リ ジン、 N —ァセチルビペ リ ジン、 N —ホノレ ミ ルピロ リ ジン、 N —ァセチルピロ リ ジン、 N, N ' — ジホル ミ ルピぺラ ジン、 N , N ' —ジァセチルビペラジンなどのア ミ ド類、 テ ト ラメ チルゥ レア、 N, N ' — ジメ チルイ ミ ダゾ リ ジノ ンな どの ゥ レア類などが挙げられる。 また本発明で用いる こ と のできる エステル結合を有する溶媒と しては、 ギ酸ェチル、 酢酸メ チ ル、 酢酸ェチノレ 、 乳酸ェチノレ 、 エチ レング リ コ一ノレモ ノ メ チ ノレエーテノレアセテー ト 、 エチ レング リ コ 一ノレジァセテー ト 、 プロ ピレング リ コールモ ノ メ チルエーテルァセテ一 ト 、 炭酸 ジェチル、 炭酸エチ レン、 炭酸プロ ピ レンなどが挙げられる。 これらの中でも特に、 N , N — ジメ チルホルムア ミ ド、 N ,
N— ジメ チルァセ ト ア ミ ド、 N— メ チル ピロ リ ドン、 テ ト ラ メ チルゥ レア、 N , N ' — ジメ チルイ ミ ダゾ リ ジノ ン、 ェチ レング リ コ ー ノレモノ メ チノレエ—テノレアセテ一 ト 、 エチ レング リ コールジァセテー ト又はプロ ピ レング リ コールモ ノ メ チル エーテルァセテ一 ト を用いる こ と が好ま しい。
ア ミ ド結合を有する有機溶媒及びエステル結合を有する有 機溶媒よ リ なる群から選ばれる有機溶媒 1 種を、 単独で溶媒 ( C ) と して用いても よいが、 ア ミ ド結合を有する有機溶媒 及びエステル結合を有する有機溶媒よ リ なる群から選ばれる 少なく ども 2種の有機溶媒からなる混合溶媒、 またはア ミ ド 結合を有する有機溶媒及びエステル結合を有する有機溶媒よ り なる群から選ばれる少なく と も 1 種の有機溶媒及びその他 の少なく と も 1種の任意の有機溶媒からなる混合溶媒を溶媒
( C ) と して用いる と 、 本発明の組成物の粘度や、 溶媒 ( C ) の蒸発速度などを制御しやすく なるので好ま しい。 他の溶媒 と混合する場合、 他の溶媒と しては C i 〜 C 4の一価アルコ ー ル、 C i 〜 C 4の二価アルコール、 グ リ セ リ ンな どのアルコー ル類、 テ ト ラ ヒ ドロ フ ラ ン、 ジェチルエーテル、 ジ ( n —プ 口 ピル) エーテル、 ジイ ソ プロ ピルエーテル、 ジグ リ ム、 1 , 4 一 ジォキサン、 エチ レング リ コ 一ノレモ ノ メ チノレエ一テノレ、 エチ レング リ コーノレジメ チノレエーテ /レ、 エチ レング リ コ一ノレ ジェチノレエーテノレ、 プロ ピ レ ンダ リ コ ーノレモ ノ メ チノレエーテ ノレ、 プロ ピ レング リ コ一ノレジメ チノレエ一テノレな どのエーテノレ 類、 アセ ト ン、 メ チルェチルケ ト ン、 メ チルプロ ピルケ ト ン、 メ チル ( n —ブチル) ケ ト ン、 メ チルイ ソブチルケ ト ン、 メ チノレア ミ ノレケ ト ン、 シク ロ ペンタ ノ ン、 シク ロ へキサノ ンな どのケ ト ン類、 ァセ ト ニ ト リ ノレ、 プロ ピオ二 ト リ ノレ、 n —ブ チロ ニ ト リ ノレ、 イ ソブチロ ニ ト リ ノレな どの二 ト リ ノレ類、 ジメ チルスノレホキシ ド、 ジメ チルスノレホン、 ス ルホ ラ ン等を用レヽ る こ とができ る。 こ の中でも特に、 C , 〜 C 4の一価アルコ 一 ル、 C i〜 C 4の二価ァノレコ ール、 グ リ セ リ ン、 エチ レングリ コーノレモノ メ チノレエーテノレ 、 プロ ピ レンダ リ コ ールモ ノ メ チ ルエーテルなどの水酸基を有する溶媒を用いる と、 本発明の 組成物を成形して薄膜を製造する際、 膜厚の均一な薄膜を製 造しやすく なるため好ま しい。
本発明の組成物における溶媒 ( C ) の量は、 本発明の組成 物全体の重量に对して 0 . 0 5 重量%以上である こ とが好ま しい。 これらの含有量が 0 . 0 5 重量%未満である と、 本発 明の組成物中のアルコ キシシラ ン ( A ) が、 その加水分解 · 脱水縮合反応によっても十分ゲル化しないため、 実用性のあ るシ リ カー有機ポ リ マ ー複合体薄膜や多孔質シ リ 力薄膜を得 難い場合がある。
本発明の組成物は、 アルコ キシシラ ン ( A ) の加水分解 · 脱水縮合反応を促進するための触媒と して機能し う る物質を 含有していてもよい。 触媒と して機能し う る物質の具体例と しては、 塩酸、 硝酸、 硫酸、 燐酸、 蟻酸、 酢酸、 蓚酸、 マロ ン酸、 マレイ ン酸及び トルエンスルホン酸などの酸、 並びに ア ンモニア水、 水酸化カ リ ウム、 水酸化ナ ト リ ウム、 ト リ エ チルァ ミ ン、 ト リ エタ ノ ールァ ミ ン、 ピ リ ジン、 ピぺ リ ジン 及びコ リ ンなどの塩基が挙げられる。 しかし、 塩基を用いる と多孔質シリ 力薄膜の空孔が大き く な り過ぎる傾向があるた め、 酸を用いる こ とが好ま しい。 酸、 塩基はそれぞれ単独で 用いても、 2種以上を併用 してもよい。 また、 酸、 塩基の両 者を用いて段階的に処理する こ と も可能である。 こ こでい う 「段階的に処理する」 と は、 例えば予め酸触媒で処理を施し た後に塩基触媒で処理を施すこ と 、 又はその逆を指す。 この 場合、 組成物に 2種類の触媒を添加する形になる。
これらの触媒の添加量は、 アルコキシシラ ン ( A ) 1 モル に対し 1 モル以下、 好ま しく は 1 0— 1モル以下が適当である。 1 モルよ り 多いと沈殿物が生成し、 均質な多孔質シ リ カ薄膜 が得られ難く なる場合がある。
本発明においてアルコキシシラ ン ( A ) の加水分解には水 が必要である。 水は予め本発明の組成物中に添加してもよい し、 本発明の組成物に上記の触媒を水溶液と して添加する場 合には、 その溶媒である水を用いても よい。 また、 アルコキ シシラ ン ( A ) の加水分解を、 周囲に十分な水蒸気を含む雰 囲気下で行う 場合には、 特に水を添加 しなく てもよい。 本発 明の組成物に水を添加する場合には、 適当な水の添加量はァ ルコキシシラ ン (A ) に含まれているケィ素原子 1 モルに対 し 1 0 4モル以下、 好ま しく は 1 0 モル以下である。 1 0 4モ ルょ リ 多いと 、 シリ 力一有機ポリ マ ー複合体薄膜の均質性が 低下する場合がある。
その他、 所望であれば、 例えば感光性付与のための光触媒 発生剤、 基板との密着性を高めるための密着向上剤、 長期保 存のための安定剤など任意の添加物を、 本発明の趣旨を損な わない範囲で本発明の組成物に添加する こ とができ る。
以上のよ う に して得られる組成物を成形する こ と によ リ薄 膜を形成させ、 得られた薄膜中のアルコ キシシラ ン (A) を、 その加水分解 · 脱水縮合反応によってゲル化させ、 そ して薄 膜中に残存する溶媒 ( C ) を除去する こ と を包含する方法に よって、 シリ カー有機ポリ マー複合体薄膜を得る こ とができ る。
なお、 本発明において "シ リ カ " とは、 S i O 2の他にケ ィ素上に炭化水素基や水素原子を有する、
R ' x H y S i O
〔 R 'は炭素数が :! 〜 6 の炭化水素基、 O x く 2、
0 ≤ y < 2 , 0 ≤ ( x + y ) < 2 、 1 < z ≤ 2 ]
で表される ものを含む。
本発明において、 薄膜の形成は基板上に本発明の組成物を 塗布するこ と によって行う。 薄膜の形成方法と しては流延、 浸漬、 スピンコー トなどの公知の方法で行う こ と ができ るが、 半導体素子の多層配線構造体用の絶緣層の製造に用いるには スピンコー 卜が好適である。 薄膜の厚さは組成物の粘度や回 転速度を変えるこ と によって 0. 1 !〜 1 0 Ο μ πΐの範囲 で制御できる。 1 Ο Ο μ πιょ リ厚いと ク ラ ックが発生する場 合がある。 半導体素子の多層配線構造体用の絶縁層と しては、 通常 0. 5 iu n!〜 5 mの薄膜が用いられる。
基板と してはシ リ コ ン、 ゲルマニウム等の半導体基板、 ガ リ ウム―ヒ素、 イ ンジウム一アンチモン等の化合物半導体基 板等を用いる こ と もでき る し、 これらの表面に他の物質の薄 膜を形成した う えで用いる こ と も可能である。 この場合、 薄 膜と しては、 アルミ ニウム、 チタン、 ク ロ ム、 ニッケル、 銅、 銀、 タ ンタノレ 、 タ ングステン、 オス ミ ウム、 白金、 金などの 金属からなる薄膜の他に、 二酸化ケイ素、 フ ッ素化ガラス、 リ ンガラス、 ホウ素一 リ ンガラス、 ホウゲイ酸ガラス、 多結 晶シ リ コ ン、 アルミナ、 チタニア、 ジルコニァ、 窒化シリ コ ン、 窒化チタ ン、 窒化タ ンタル、 窒化ホウ素、 水素化シルセ スキォキサン等の無機化合物からなる薄膜、 メ チルシルセス キォキサン、 ァモノレ フ ァ スカーボン、 フ ッ素化ァモノレ フ ァ ス カーボン、 ポ リ イ ミ ド、 その他任意の有機ポリ マーからなる 薄膜を用いる こ とができ る。
薄膜の形成に先立ち、 上記基板の表面を、 あらかじめ密着 向上剤で処理してもよい。 この場合の密着向上剤と してはい わゆるシラ ンカ ツプリ ング剤と して用レヽられる ものやアルミ ニゥムキレ一 ト化合物などを使用する こ とができ る。 特に好 適に用いられる ものと して、 3 —ァ ミ ノ プロ ビル ト リ メ トキ シシラン、 3 —ァ ミ ノプロ ピル ト リ エ ト キシシラ ン、 N—
( 2 —アミ ノエチル) 一 3 —ァ ミ ノプロ ビル ト リ メ ト キシシ ラン、 N— ( 2 —ア ミ ノエチル) 一 3 —ァ ミ ノ プロ ピルメチ ノレジメ トキシシラン、 ビュル ト リ ク ロ ロ シラン、 ビュル ト リ エ トキシシラ ン、 3 —ク ロ 口プロ ピノレ ト リ メ ト キシシラン、 3 — ク ロ 口 プロ ピノレメ チノレジク ロ ロ シラ ン、 3 — ク ロ 口 プロ ピルメ チルジメ ト キシシラ ン、 3 — ク ロ 口 プロ ピノレメ チルジ エ ト キシシラ ン、 3 — メ ノレカプ ト プロ ビル ト リ メ ト キシシラ ン、 3 — グ リ シ ドキ シプロ ビル ト リ メ ト キシシラ ン、 3 — グ リ シ ドキシプロ ピルメ チルジメ ト キシシラ ン、 3 —メ タ ク リ ロ キシプロ ビル ト リ メ ト キシシラ ン、 3 —メ タ ク リ ロ キシプ 口 ピルメ チルジメ ト キシシラ ン、 へキサメ チルジシラザン、 ェチルァセ ト ァセテー ト アル ミ ニ ウムジイ ソプロ ピレ一 ト 、 アル ミ ニ ウム ト リ ス (ェチルァセ ト アセテー ト ) 、 アルミ 二 ゥム ビス (ェチルァセ ト アセテー ト) モ ノ ァセチノレアセ トネ ー ト 、 アル ミ ニ ウム ト リ ス (ァセチルァセ トネ一 ト) な どが 挙げられる。 これら の密着向上剤を塗布するにあたっては、 所望であれば他の添加物を加えた り 、 溶媒で希釈して用いて もよい。 密着向上剤による処理は公知の方法で行う。
以上のよ う に して得られた薄膜中のアルコキシシラ ン (A ) を、 加水分解 · 脱水縮合反応によ ってゲル化させる と 、 二酸 化ケィ素様の三次元網 目構造を有する生成物と なる。
アルコキシシラン ( A ) の加水分解 · 脱水縮合反応の温度 に特に制限されないが、 通常は 0 〜 1 8 0 °C、 好ま しく は 3 0 〜 1 5 0 °Cの範囲で行う。 温度が 0 °Cょ リ低いと反応速度 が小さ く 、 アルコキシシラ ン ( A ) が十分ゲル化するのに長 時間を要し、 逆に 1 8 0 °Cょ リ 高いと巨大なボイ ドが生成し やすく 、 後述するシ リ カ—有機ポリ マー複合体薄膜の均質性 が低下する。 アルコ キシシラ ン ( A ) の加水分解 ' 脱水縮合 反応に要する時間は熱処理の温度や触媒の量な どによって異 なるが、 通常数分間〜数日 間の範囲である。
一般に、 アルコ キ シシラ ン ( A ) のゲル化 と溶媒 ( C ) の 少なく と も一部の蒸発は同時に起こ るが、 溶媒 ( C ) の沸点 や触媒の種類、 添加量を調整する こ と によって両者の速度を 調節する こ と も可能である。 概して、 アルコキシシラ ン (A ) のゲル化が十分に進行するまでは、 少な く と も溶媒 ( C ) の 一部が薄膜中に残存する よ う に調整しておいた方が良好な結 果を与える場合が多い。
該アルコキシシラ ン ( A ) のゲル化が完了 した時点で溶媒 ( C ) が薄膜中に残っている場合は、 引き続いて薄膜の乾燥 を行い、 残存する溶媒 ( C ) を除去する。 乾燥温度は当然用 いている溶媒の種類によって異なるが、 通常 3 0 〜 2 5 0 °C の範囲で行う 。 また減圧下で乾燥を行う のも有効である。 ボ ィ ドの発生を制御 し、 均質なシ リ カ —有機ポリ マー複合体薄 膜を得るために、 徐々 に温度を上昇させなが ら乾燥する方法 も好ま しい。
以上のよ う に して、 シ リ カ一有機ポ リ マー複合体薄膜を得 るこ と ができ る。 この時、 上記の有機ポ リ マ一 ( B ) は、 会 合せずにほぼ分子鎖の状態になって複合体薄膜中に分散して いる。 このよ う に、 会合せずにほぼ分子鎖の分散状態が得ら れる と 、 複合体薄膜は波長 0 . 4 〜 0 . 7 mの可視光に対 し透明と なる。 このよ う に して得られたシ リ カ—有機ポ リ マー複合体薄膜 は、 アルコキシシラ ンだけを用いて得られる二酸化ケイ素薄 膜に比べて誘電率が低く 、 また厚膜形成性があるので、 この ままで半導体素子の多層配線構造体用の絶縁層 と して用いる こ とができ る。 しかし、 さ らに誘電率の低い、 半導体素子の 多層配線構造体用の絶縁層を得る こ と を 目的と して、 この複 合体薄膜を多孔質シ リ カ薄膜に変換する こ とが好ま しい。 複 合体薄膜の多孔質シリ カ薄膜への変換は、 複合体薄膜から有 機ポリ マ一 ( B ) を除去する こ と によって行う。 この時、 上 記したアルコキシシラ ン ( A ) のゲル化が十分に進行してい れば、 シリ カ 一有機ポ リ マー複合体薄膜中の、 有機ポ リ マー ( B ) の分子鎖が占めていた空間が、 多孔質シ リ カ薄膜中の 空孔と してつぶれずに残る。 その結果、 空隙率が高く 、 誘電 率の低い多孔質シリ 力薄膜を得る こ とができ る。
有機ポ リ マー ( B ) を除去する方法と しては、 加熱焼成、 プラズマ処理、 溶媒抽出な どが挙げられるが、 現行の半導体 素子製造プロセスにおいて容易実施可能である とい う観点か ら、 好ま しいのは加熱焼成である。 この場合、 焼成温度は用 いる有機ポリ マー ( B ) の種類に依存するが、 通常 3 0 0 °C 〜 5 0 0 °C、 好ま しく は 3 5 0 °C〜 4 5 0 °Cの範囲である。 5 0 0 °Cよ リ 高いと 、 多孔質シリ 力薄膜の空孔がつぶれて膜 厚が著しく 減少する と と もに、 誘電率が上昇して しま う。 ま た 3 0 0 °Cょ リ 低いと 、 有機ポリ マー ( B ) の分解が十分で なく 、 得られる多孔質シ リ 力薄膜に不純物と して有機ポリ マ ― ( B ) に由来する有機物が残存するため誘電率の低い多孔 質シリ 力薄膜が得られない危険がある。
焼成時間は 1 分〜 2 4 時間の範囲で行う。 1 分よ リ少ない と有機ポ リ マー ( B ) の分解が十分進行しないので、 得られ る多孔質シリ カ薄膜に不純物と して有機ポリ マー ( B ) に由 来する有機物が残存するため誘電率の低い多孔質シ リ カ薄膜 が得られない危険がある。 また通常有機ポリ マ一 ( B ) の熱 分解は 2 4時間以内に終了するので、 これ以上長時間の焼成 は意味をなさない。
焼成は窒素、 アルゴン、 ヘリ ウムなどの不活性雰囲気下で 行ってもょレヽし、 酸素ガスを含有するガス、 例えば空気など の酸化性雰囲気下で行う こ と も可能である。 一般的に、 酸化 性雰囲気下で焼成する こ と によって、 焼成温度が低く な リ 、 焼成時間が短縮される傾向にある。 また焼成をアンモニア又 は水素を含む雰囲気下で行う と 、 上記のアルコキシシラン
( A ) の加水分解 · 脱水縮合反応にょ リ得られる生成物中に 残存しているシラノール基が反応して水素化あるいは窒化さ れ、 多孔質シリ カ薄膜の吸湿性を低減させるこ とができ る。 本発明の組成物を用いて得られるシリ カ—有機ポ リ マー複 合体薄膜および多孔質シリ カ薄膜は、 現行の半導体素子製造 プロセスにおいて用レヽられる公知の方法で任意の形状に加工 するこ とができ る。 得られた多孔質シ リ カ薄膜をシ リ ル化剤で表面処理して、 吸水性を抑えた リ 、 他の物質と の接着性を向上させた リ する こ と も有効である。 用レヽる こ と のでき る シ リ ノレ化剤の例 と し て ト リ メ チルメ ト キシシラ ン、 ト リ メ チルエ ト キシシラ ン、 ジメ チルジメ ト キシシラ ン、 ジメ チルジェ ト キシシラ ン、 メ チル ト リ メ ト キシシラ ン、 メ チル ト リ エ ト キシシラ ン、 ジメ チルエ ト キシシラ ン、 メ チルジェ ト キシシラ ン、 ジメ チルビ ニルメ ト キシシラ ン、 ジメ チルビニルエ ト キシシラ ン、 ジフ ェニルジメ ト キシシラ ン、 ジフ エ二ルジェ ト キシシラ ン、 フ ェニル ト リ メ ト キシシラ ン、 フ エニル ト リ エ ト キシシラ ンな どのアルコ キシシラ ン類、 ト リ メ チルク ロ ロ シラ ン、 ジメ チ ルジク ロ ロ シラ ン、 メ チノレ ト リ ク ロ ロ シラ ン、 メ チルジク ロ ロ シラ ン、 ジメ チノレク ロ ロ シラ ン、 ジメ チノレビ二ノレク ロ ロ シ ラ ン、 メ チルビニルジク ロ ロ シラ ン、 メ チノレク ロ ロ ジシラ ン、 ト リ フ ニノレク ロ ロ シラ ン、 メ チノレジフ エ二ノレク ロ ロ シラ ン、 ジフエ二ルジク 口 ロ シラ ンな どのク 口 口 シラ ン類、 へキサメ チルジシラザン、 N , N ' 一 ビス ( ト リ メ チルシ リ ノレ) ウ レ ァ、 N— ト リ メ チルシ リ ノレ アセ ト ア ミ ド 、 ジメ チノレ ト リ メ チ ルシ リ ルァ ミ ン、 ジェチル ト リ エチルシ リ ルァ ミ ン、 ト リ メ チルシ リ ルイ ミ ダゾールな どのシラザン類な どが挙げ られる。 シ リ ル化の方法の例 と しては、 塗布、 浸漬、 蒸気暴露な どを 挙げる こ と ができ る。
本発明の組成物を用いる こ と に よ っ て、 特別な設備を用い る こ となく 、 現行の半導体素子製造プロ セスにおいて容易に 実施可能な方法によ リ 、 誘電率の低いシ リ カー有機ポ リ マー 複合体薄膜や、 微小な空孔と高い空隙率と を有し、 誘電率の 低い多孔質シ リ 力薄膜を得る こ とができ るため、 本発明の組 成物は、 低誘電率の多層配線構造体用の絶縁層、 特に L S I の多層配線構造体用の絶縁層の原料と してきわめて有用であ る。
発明を実施するための最良の形態
以下に実施例及び比較例にょ リ本発明を具体的に説明する が、 これらは本発明の範囲を限定する も のではない。
なお、 シリ カ一有機ポリ マー複合体薄膜および多孔質シリ 力薄膜の評価は下記の装置を用いて行った。
( 1 ) 表面積測定 (N2 B E T ) : 日本国、 島津製作所製窒 素吸着式表面積測定装置を用いた。
( 2 ) 膜厚測定 : 米国、 S 1 o a n社製 D E K T A K— I I A型表面粗さ測定装置を用いた。
( 3 ) 誘電率測定 : 米国、 ヒ ュー レ ッ ト ' パッカー ド社製 H P 4 2 8 0型 C一 V測定装置を用いた。
( 4 ) 透明性 : シリ カ一有機ポリ マ一複合体薄膜を厚さ l m mにス ライ ス し、 白地に 3 mm角の黒色の文字が書かれた文 字盤上に置いて、 薄膜を通して文字が判読できれば透明であ る と判断した。
本発明で用いた有機ポ リ マー数の数平均分子量 (M n ) は、 テ トラ ヒ ドロ フランを搬送溶媒と して用い、 ゲルパーミエ一 シヨ ンク ロマ ト グラフィー ( G P C ) 法で測定し、 標準単分 散ポリ スチ レンを用いて求めた。
実施例及び比較例中の表 1 〜 7において以下のよ う な略語 を用いる。
T E O S : テ ト ラエ ト キシシラ ン、 MT E S : メ チル ト リ エ ト キシシラ ン、
TMO S : テ ト ラメ ト キシシラン、
MTM S : メ チル ト リ メ ト キシシラ ン、
P E G ポリ エチレンダリ コール
P C L ポリ 力プロ ラ ク ト ン、
P C ポリ ペンタ メ チレン一 へキサメ チレン
カーボネ一 ト、
P V E E : ポリ ビニルェチルエーテル
P V d F : ポリ フ ッ化ビ二 リ デン
N M P N—メ チルピロ リ ドン、
D M F : N , N—ジメ チルホルムア ミ ド
E A : 酢酸ェチノレ 、
E t O H : エタ ノール、 及び
M e O H : メ タ ノール
実施例 1
テ ト ラエ ト キシシラ ン 1 . 2 g、 ポ リ エチレングリ コール (数平均分子量 2 0 0 0 0 ) 0. 3 4 g を N—メ チルピロ リ ドン 2 .- 0 gに溶解し、 水 0. 3 0 g と 0 0 N硝酸 0 .
1 5 g を加え、 室温で 2時間撹拌した。 この溶液をポリ テ ト ラフルォロエチレン製時計皿上に流延 し、 1 2 0 °Cにて 1 時 間放置し、 テ ト ラエ ト キシシランをゲル化した。 得られたも のを 1 8 0 °Cにて真空乾燥する こ と によって、 シ リ カ一有機 ポリ マー複合体薄膜を得た。 得られた複合体薄膜は透明であ つた。 実施例 2〜 : L 4
アルコキシシラ ン、 有機ポ リ マ一、 溶媒の種類を変えた以 外は、 実施例 1 と同 じ操作を行った。 用いたアルコキシシラ ン、 有機ポリ マー及び溶媒の種類及び量、 並びに結果を表 1 に示す。 ゲル重量と は、 原料のアルコキシシラ ンが全て加水 分解、 脱水縮合した場合に得られる生成物の重量の計算値で ある。
得られたシ リ カ一有機ポ リ マー薄膜はいずれも透明であつ た。
夫腿! 分量/ g ゲル重量/ g ポ nマー
ヽ ノ ヾ 刀^丁畺里 分量/ g £ ゲノレ外額
1 TEOS n PEG 20000 Π u · Q NMP 透明
9 F O S o π Q PEG 20000 n a Q NMP ;秀明
π n ^± PEG 20000 π A DMF 诱明
o n u · Q P F G fi 00 o u · c: NMP ;秀明
π u · 7 n u · PEG 20000 n NMP 诱明
u n u . u . F G 1 000 Ό o E A 诱明
7 ru e 0. 8 0. 34 o n n n 0. 51 F A ;秀明 CO
CD
/ n
8 TEOS 1. 2 0. 34 PCL 600 0. 34 DMF 透明
9 MTMS 0. 7 0. 34 PCし 600 0. 34 DMF 透明
10 MTMS 0. 7 0. 34 PC 20000 0. 34 NMP 透明
1 1 TEOS 1. 2 0. 34 PEG 20000 0. 68 NMP+EtOH(2/8) 透明
12 MTE S 0. 9 0. 34 PEG 20000 0. 85 NMP+EtOH(2/8) 透明
13 MTMS 0. 7 0. 34 PEG 600 1. 05 D F+EG(5/5) 透明
14 MTMS 0. 7 0. 34 PEG 20000 0. 34 NMP+MeOH(3/7) 透明
比較例 :! 〜 4
有機ポ リ マ —と してポ リ ビニルェチルエーテル ( P V E E ) およびポ リ フ ッ化ビニ リ デン ( P V d F ) を用いた以外は実 施例 1 と同 じ操作を行った。 結果を表 2 に示す。 比較例 1 〜 4においては、 透明均質なゲル体を得る こ とができなかった。
表 2
比較例 原料 分量/ g ゲル重量/ g ポリマー 分子量 分量/ g 溶媒 結果
1 TEOS 1. 2 0. 34 PVEE 3800 0. 34 NMP 均質にゲル化せず
2 TEOS 1. 2 0. 34 PVd F 71000 0. 34 DMF 不透明なゲルが生成
3 MTMS 0. 7 0. 34 PVdF 71000 0. 34 NMF 不透明なゲルが生成
4 MT S 0. 7 0. 34 PVdF 71000 0. 34 EA 不透明なゲルが生成
実施例 1 5〜 2 6
ポ リ エチ レングリ コール (数平均分子量 2 0 0 0 0 ) 、 ポ リ カプロ ラク ト ン (数平均分子量 6 0 0 ) 又はポリペンタメ チ レン一へキサメ チ レンカーボネー ト (数平均分子量 2 0 0 0 ) 0. 1 7 g を、 N , N—ジメ チルホルムア ミ ド (DMF ) 、 N—メ チルビ ό リ ドン (ΝΜ Ρ ) 、 酢酸ェチル又はテ トラ ヒ ドロ フ ラ ン ( T H F ) と DMF と の 6 Ζ 4混合物 1 . 5 g に溶解させ、 テ ト ラエ ト キシシラ ン 0 . 6 0 g ( S i 〇 2に 換算する と 0 . 1 7 g ) 、 0 . I N塩酸 0 . 1 5 g を加え、 室温で 1 時間撹拌した。 こ の溶液に 0 . 1 Nア ンモニア水溶 液 0 . 5 g を加えた と ころ、 テ ト ラエ ト キシシラ ンは速やか にゲル化し、 溶液全体がゼ リ ー状の生成物となった。 これら のゼリ ー状生成物の外観は表 3 に示したと お り 、 すべて無色 - 透明であった。
表 3
実施例 ポリマー DMF NMP Ethyl acetate THF+DMF (6/4)
15〜; 8 PEG T T T T
19〜 22 P C L T T T T
23〜26 PC T T T T
Co
Γ: fransparent, 0:0paque
比較例 5 〜 7
溶媒と してァセ ト ニ ト リ ルを用いた以外は、 実施例 1 5 〜 2 6 と 同 じ操作を行った。 得られたゼ リ ー状生成物の外観は、 表 4 に示すよ う にいずれも 白色不透明なものになった。
表 4
比較例 ポリマー Ac e t on 11 r 11 e
5 P E G O
6 P C L O
7 P C o n
T: Transparent , 0:0paque
実施例 2 7
ェチルシ リ ケ一 ト 4 0 ( 日本国、 コルコー ト社製) 0. 4 3 g、 ポ リ プロ ピ レ ングリ コール (数平均分子量 4 0 0 0 ) 0. 1 7 g をジメ チノレホルムア ミ ド 1 . 2 g、 エタ ノ ール 0. 8 gの混合溶媒に溶解させた。 こ の溶液に 0 . 1 Nア ンモニ ァ水溶液 0 . 2 g を加えたものを、 シャー レ中に流延し、 薄 膜を形成した後、 シャー レを密封し、 室温にて終夜放置して、 ェチルシリ ケー ト 4 0 をゲル化させた。 その後 6 0 °Cから 1 2 0 °Cまで徐々 に加温し、 1 2 0 °Cに保ったまま真空に減圧 する こ と によって薄膜を乾燥し、 厚さ 1 . 3 mmの透明なシ リ カー有機ポ リ マー複合体薄膜を得た。 得られた複合体薄膜 を空気中にて 4 5 0 °C、 2時間加熱焼成し、 有機ポ リ マーを 除去して多孔質シリ 力薄膜を得た。 窒素吸着法でこの多孔質 シリ カ薄膜の比表面積を測定したと こ ろ 9 9 0 m2Z gであ つた。 このこ とは、 得られた多孔質シ リ カ薄膜の空孔径が小 さいこ と を示すものである。 比較例 8
ェチルシ リ ケー ト 4 0 (日本国、 コルコー ト社製) 0. 4 3 g、 ポ リ スチ レン (数平均分子量 8 0 0 0 ) 0 . 1 7 gを メチルェチルケ ト ン 2 . 0 gに溶解させた。 この溶液に 0. 1 Nアンモニア水溶液 0 . 2 g を加えたものを、 シャー レ中 に流延し、 薄膜を形成 した後、 シャー レを密封し、 室温にて 終夜放置して、 ェチルシリ ケー ト 4 0 をゲル化させた。 その 後 6 0 °Cカゝら 1 2 0 °Cまで徐々 に加温し、 1 2 0 °Cに保った まま真空に減圧する こ と に よ っ て薄膜を乾燥し、 不透明なシ リ カー有機ポ リ マー複合体薄膜を得た。 得られた複合体薄膜 を空気中にて 4 5 0 °C、 2時間加熱焼成して多孔質シ リ カ薄 膜に変換した。 こ の多孔質シリ カ薄膜 0 . 1 3 gを用いて比 表面積を測定したと こ ろ l m2Z g以下と非常に小さな値で あった。 こ のこ と は、 得られた多孔質シ リ カ薄膜の空孔径が 大きいこ と を示す。 実施例 2 8
テ ト ラエ ト キシシラ ン ( T E O S ) 1 . 2 g とポリ エチレ ングリ コール (数平均分子量 2 0 0 0 0 ) 0. 1 7 g を N, N ' —ジメ チルイ ミ ダゾリ ジノ ンと プロ ピレンダリ コールメ チルエーテルアセテー トの混合溶媒 (重量比 2 1 ) に溶解 させ、 水 0. 5 g と 0. 1 N塩酸 0 . 1 5 g を添カ卩して 4時 間撹拌した。 得られた溶液を窒化チタ ン薄膜付きシ リ コ ンゥ ェハ上に毎分 1 5 0 0回転の速度でス ピンコ一 ト して薄膜を 形成し、 1 2 0 °Cにて薄膜を 1 時間加熱して、 テ ト ラアルコ キシシランのゲル化及び溶媒の除去を行い、 シ リ カー有機ポ リ マー複合体薄膜を得た。 さ らに、 得られた複合体薄膜を窒 素雰囲気下 4 5 0 °Cにて 1 時間焼成する こ と に よ リ 、 有機ポ リ マーを除去して、 多孔質シリ カ薄膜に変換した。 こ の試料 を耐圧容器に入れ真空減圧した後に、 室温にてへキサメ チル ジシラザンの蒸気を導入する操作を行って、 空孔表面を ト リ メ チルシ リ ル基で修飾し疎水化した。 この薄膜の上部に S U S製のマス ク を通してアルミ ニウムを蒸着し、 直径 1 . 7 m mの電極を作製した。 こ の試料を用いて多孔質シリ 力薄膜の 1 M H z における誘電率を測定したと こ ろその値は 3 . 5 で ぁ リ 、 S i 〇 2の誘電率である 4 . 5 を大き く 下回っていた。
実施例 2 9 〜 3 2
ポリ エチレンダリ コールの量を変えた以外は実施例 2 8 と 同 じ操作を行って多孔質シリ カ薄膜を製造し、 その誘電率を 測定した。 結果を表 5 に示す。 得られた多孔質シリ カ薄膜の 誘電率はいずれも低い値を示した。
表 5
実施例 原料 ポリマー ポ! (マ / 力(重量比) 複合体の膜厚 /jum 焼成後の膜厚/ 膜厚の減少率 誘電率(1M )
28 TEOS PEG 0. 5 0. 65 0. 48 26 % 3. 5
29 TEOS PEG 1. 0 1. 1 9 0. 83 30% 2. 7
30 TEOS PEG 1. 5 0. 93 0. 63 32% 2. 5
31 TEOS PEG 2. 0 1. 02 0. 64 37% 2. 2
CD
32 TEOS PEG 3. 0 1. 09 0. 71 35% 1. 7
実施例 3 3
メ チル ト リ メ トキシシラン (MTM S ) 0 . 7 0 g と ポ リ エチ レングリ コール (数平均分子量 2 0 0 0 0 ) 0. 1 7 g を N— メ チルピロ リ ドン、 プロ ピ レング リ コールメ チルエー テルアセテー ト、 メ タ ノールの混合溶媒 (重量比 2 Z 1 / 4 ) に溶解させ、 水 0. 3 0 g と 0 . 1 N硝酸 0 . 1 5 g を添加 して 3時間撹拌した。 得られた溶液を窒化チタ ン薄膜付きシ リ コ ンウェハ上に毎分 1 5 0 0回転の速度でス ピンコー ト し 薄膜を形成し、 得られた薄膜を 1 2 0 °Cにて 1 時間加熱して M T M Sのゲル化及び溶媒の除去を行い、 シリ カー有機ポリ マー複合体薄膜を得た。 さ らに得られた複合体薄膜を窒素雰 囲気下 4 5 0 °Cにて 1 時間焼成し、 有機ポリ マーを除去して、 多孔質シリ カ薄膜に変換した。 この薄膜の上部に S U S製の マス ク を通 してアルミ ニウムを蒸着し、 直径 1 . 7 mmの電 極を作製した。 この試料を用いて多孔質シリ 力薄膜の 1 MH z における誘電率を測定したと こ ろ 2 . 8であ り 、 C H 3 S i O t.5の誘電率である 3 . 1 を下回る結果と なった。 実施例 3 4〜 3 6
ポリ エチレンダリ コールの添加量を変えた以外は実施例 3 1 と同 じ操作を行って多孔質シ リ カ薄膜を製造し、 その誘電 率を測定した。 結果を表 6 に示す。 得られた多孔質シリ カ薄 膜の誘電率はいずれも低い値を示した。 表 6
実施例 原料 ポリマー ポ!)マ-/シ!)力(重量比) 複合体の膜厚 /jum 焼成後の膜厚// xm 膜厚の減少率 誘電率(ΙΜΗζ)
33 MTMS PEG 0. 5 1. 03 0. 74 28 2. 8
34 MTMS PEG 1. 0 0. 94 0. 63 33 2. 4
35 MTMS PEG 1. 5 1. 06 0. 67 37 2. 1
36 MTMS PEG 3. 5 1. 00 0. 64 36 1. 6 n
比較例 9
メチル ト リ メ ト キシシラ ン (MT M S ) 0. 7 0 g とポリ エチ レングリ コール (数平均分子量 2 0 0 0 0 ) 0. 1 7 g をメ タ ノールに溶解させ、 水 0 . 3 0 8 と 0 . 1 N硝酸 0. 1 5 g を添加して 2 時間撹拌した。 こ の溶液をシリ コ ンゥェ ハ上に毎分 1 5 0 0 回転の速度でス ピンコー ト して薄膜を形 成し、 1 2 0 °Cにて薄膜を 1 時間加熱して M T M Sのゲル化 と溶媒の除去を行い、 厚さ 0 . 8 7 mのシリ カ—有機ポリ マー複合体薄膜を得た。 さ らに得られた複合体薄膜を窒素雰 囲気下 4 5 0 °Cにて 1 時間焼成して有機ポリ マ一を除去して、 多孔質シリ カ薄膜に変換したと こ ろ、 膜厚は 0 . 4 5 μ πιで ぁリ 、 焼成前に比べて 4 8 %も減少していた。 焼成にょ リ有 機ポリ マーが除去される際に生成するはずの空孔が潰れて し まったためと考えられる。 比較例 1 0〜 1 1
ポリ エチレンダリ コールの添加量を変えた以外は比較例 9 と同じ操作を行って、 シリ カ一有機ポリ マ一複合体薄膜の焼 成前後の膜厚変化を調べた。 結果を表 7 に示す。 得られた複 合体薄膜はいずれも加熱焼成前後の膜厚の減少が著しかった。 表 7
比較例 原料 ポリマー ポリマー/シリカ (重量比) 複合体の塗膜 Ζμπι 焼成後の塗膜 />m 膜厚の減少率
9 MTMS PEG 0. 5 0. 87 0. 45 48%
0 MTMS PEG 1 - 0 0. 36 0. 14 6 1 %
1 MTMS PEG 1. 5 1. 14 0. 47 59% n
CO
実施例 3 7
メ チル ト リ メ ト キシシラ ン 0 . 6 g 、 ポ リ セバシン酸無水 物 (数平均分子量 1 9 0 0 ) 0 . l g を N, N—ジメ チルホ ノレムア ミ ド 1 . 0 g に溶解し、 水 0 . 0 5 g と 0 . 1 N塩酸 0. 1 g を加え、 室温で 1 時間撹拌した。 この溶液をポリ テ トラフルォロエチ レン製時計皿上に流延して薄膜を形成し、 得られた薄膜を 1 0 0 °Cにて 1 時間放置し、 メチル ト リ メ ト キシシランをゲル化した。 さ らに薄膜を 1 8 0 °Cにて真空乾 燥する こ とによって、 シ リ カ—有機ポ リ マー複合体薄膜を得 た。 複合体薄膜は透明であった。
産業上の利用可能性
本発明の絶縁薄膜製造用アルコキシシラ ン一有機ポリ マー 組成物を成形して薄膜を形成させ、 得られた薄膜中のアルコ キシシランを加水分解 · 脱水縮合反応させて該アルコキシシ ラ ンをゲル化し、 そ して残存する溶媒を除去して得られるシ リ カー有機ポ リ マー複合体薄膜、 及び複合体薄膜よ リ有機ポ リ マ一を除去して得られる多孔質シ リ カ薄膜は、 誘電率が低 く 、 半導体素子の多層配線構造体用の絶縁層と して適してい るのみならず、 現行の半導体素子製造プロセスにおいて容易 に実施可能な方法で製造する こ とが可能である。 従って、 本 発明の絶縁薄膜製造用アルコキシシラ ン—有機ポ リ マー組成 物は、 L S I 等の半導体素子の多層配線構造体用の絶縁層の 製造において極めて有利に用いる こ とができ る。

Claims

求 の 範 囲
1 . (A) 下記式 ( 1 ) ( 5 )
S i (O R) 4 ( 1 ) , R 1 S i (O R ) a ( 2 ) , R 1 R 2 S i ( O R ) ( 3 ) ,
R 1 R 2 R 3 S i O R ( 4 ) , 及び
( R O) 3 S i - R 4- S i (O R) 3 ( 5 )
(式中、 各 Rは、 各々独立に、 炭素数 1 〜 6 の直鎖状又は分 岐状アルキル基を表し、 R R 2及び R 3は、 各々独立に、 水素原子又は炭素数 1 〜 6 の 1価の炭化水素基を表し、 R 4 は炭素数 1 〜 6の 2価の炭化水素基を表わす。 )
によってそれぞれ表される ( 1 ) テ ト ラアルコキシシラ ン, ( 2 ) ト リ アルコ キシシラ ン, ( 3 ) ジアルコ キシシラ ン, ( 4 ) モノ アルコキシシラ ン及び ( 5 ) ト リ アルコキシシラ ン 2量体よ リ なる群から選ばれる少なく と も 1種のアルコキ シシラ ン、 但し、 上記アルコキシシラ ン ( 3 ) 及び ( 4 ) よ リ選ばれる少なく と も 1種のアルコキシシラ ンは、 上記アル コキシシラ ン ( 1 ) 、 ( 2 ) 及び ( 5 ) ょ リ選ばれる少なく と も 1種のアルコキシシラ ンと組合わせて用いる ;
( B ) 炭素数が 2〜 1 2のエーテル基含有繰り 返し単位を有 する脂肪族ポリ エーテル鎖、 炭素数が 2〜 1 2 のエステル基 含有操リ返し単位を有する脂肪族ポ リ エステル鎖、 炭素数が 2 〜 1 2 のカーボネー ト基含有繰リ返し単位を有する脂肪族 ポリ カーボネー ト鎖、 及び炭素数が 2 〜 1 2 のアンハン ドラ ィ ド基含有繰 り返し単位を有する脂肪族ポリ アンハイ ドライ ド鎖よ リ なる群よ リ選ばれる少な く と も 1 種の脂肪族ポリ マ 一鎖から主に構成される主鎖を有する少なく と も一種の有機 ポリ マー ; 及び
( C ) 該アルコ キシシラ ン ( A ) 及び該有機ポ リ マー ( B ) の溶媒であって、 ア ミ ド結合を有する有機溶媒及びエステル 結合を有する有機溶媒よ り なる群よ リ選ばれる少なく と も 1 種の有機溶媒を含有する溶媒、
を包含してなる、 絶縁薄膜製造用アルコ キシシラ ン一有機ポ リ マー組成物。
2 . 該アルコキシシラ ン ( A ) が、 少なく と も 1 種の上記ァ ルコ キシシラ ン ( 1 ) と 、 上記アルコ キシシラ ン ( 2 ) 〜
( 5 ) よ り なる群から選ばれる少なく と も 1種のアルコキシ シランとの混合物である こ と を特徴とする、 請求項 1 に記載 の組成物。
3 . 該アルコキシシラ ン ( A ) が、 少なく と も 1 種の上記 ト リ アルコキシシラ ン ( 2 ) であるカヽ 或いは少なく と も 1種 の上記 ト リ アルコ キ シシラ ン ( 2 ) と 上記アルコ キシシラ ン
( 1 ) 及び ( 3 ) 〜 ( 5 ) よ り なる群から選ばれる少なく と も 1種のアルコキシシランとの混合物である こ と を特徴とす る、 請求項 1 に記載の組成物。
4. 該溶媒 ( C ) が、 少なく と も 1種のアルコ ールを更に含 有する こ と を特徴とする、 請求項 1 〜 3 のいずれかに記載の 組成物。
5. 該アルコ キシシラ ン ( A ) の加水分解 · 脱水縮合反応を 促進するための触媒と して機能する こ と のでき る、 少なく と も 1種の酸を更に含有する こ と を特徴とする、 請求項 1〜 4 のいずれかに記載の組成物。
6. 該有機ポリ マー ( B ) が、 数平均分子量が 2 0 0から 1 0 0万でぁ リ 、 炭素数が 2〜 1 2のエーテル基含有繰リ返し 単位を有するポリ アルキ レングリ コールからなる脂肪族ポリ エーテルであるこ と を特徴とする、 請求項 1 〜 5のいずれか に記載の組成物。
7. 該組成物における該有機ポリ マー ( B ) の含有量が、 該 アルコキシシラン (A) の全量が加水分解 · 脱水縮合されて 得られる生成物 1重量部に対して、 0 . 1 〜 1 0重量部であ るこ と を特徴とする、 請求項 1 〜 6 のいずれかに記載の組成
,【 ,
8 . 請求項 1 〜 7のいずれかに記載の組成物を成形する こ と によ リ薄膜を形成させ、
該薄膜中の該アルコキシシラ ン (A) を、 その加水分解 · 脱水縮合反応によってゲル化させ、 そ して
該薄膜中に残存する溶媒 ( C ) を除去する
こ とを包含する方法によって得られる、 シリ カ一有機ポリ マ 一複合体薄膜。
9. 膜厚が 0 . :! 〜 l O O i mである こ と を特徴とする、 請 求項 8 に記載のシ リ カ —有機ポ リ マー複合体薄膜。
1 0. 波長 0 . 4〜 0 . 7 μ πιの可視光に対し透明である こ とを特徴とする、 請求項 8 または 9 に記載のシリ カ一有機ポ リ マー複合体薄膜。
1 1 . 複数の絶縁層及びその上に形成された配線を包含し、 該絶緣層の少なく と も 1層が請求項 8〜 1 0のいずれかに記 載のシリ カ—有機ポ リ マー複合体薄膜よ リ構成されてなるこ と を特徴とする、 多層配線構造体。
1 2. 請求項 1 1 の多層配線構造体を包含してなる半導体素 子。
1 3 . 請求項 8 〜 1 0 のいずれかに記載のシリ カ一有機ポリ マ一複合体薄膜から該有機ポリ マーを除去する こ と によって 得られる、 多孔性シ リ 力薄膜。
1 4 . 平均空孔径が 1 〜 5 0 0 n mである こ と を特徴とする 請求項 1 3 に記載の多孔性シリ カ薄膜。
1 5 . 該シ リ カ一有機ポ リ マー複合体薄膜からの該有機ポリ マーの除去を、 該複合体薄膜を 4 5 0 °C以下の温度で加熱 · 焼成する こ と によって行な う こ と を特徴とする、 請求項 1 3 又は 1 4 に記載の多孔性シ リ カ薄膜。
1 6 . シリル化剤にょ リ表面処理されているこ と を特徴とす る、 請求項 1 3 ~ 1 5 のいずれかに記載の多孔性シリ カ薄膜,
1 7 . 複数の絶縁層及びその上に形成された配線を包含し、 該絶縁層の少なく と も 1層が請求項 1 3 〜 1 6 のいずれかに 記載の多孔性シリ カ薄膜よ り構成されてなる こ と を特徴とす る、 多層配線構造体。
1 8 . 請求項 1 7 の多層配線構造体を包含してなる半導体素 子。
PCT/JP1998/003186 1997-07-15 1998-07-15 Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation WO1999003926A1 (fr)

Priority Applications (7)

Application Number Priority Date Filing Date Title
AU82427/98A AU8242798A (en) 1997-07-15 1998-07-15 Alkoxysilane/organic polymer composition for thin insulating film production anduse thereof
US09/423,798 US6448331B1 (en) 1997-07-15 1998-07-15 Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
CA002289782A CA2289782C (en) 1997-07-15 1998-07-15 Alkoxysilane/organic polymer composition for use in producing an insulating thin film and use thereof
EP98932538A EP0997497B1 (en) 1997-07-15 1998-07-15 Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
JP50691499A JP4093596B2 (ja) 1997-07-15 1998-07-15 絶縁薄膜製造用アルコキシシラン−有機ポリマー組成物、及びその用途
AT98932538T ATE280806T1 (de) 1997-07-15 1998-07-15 Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung
DE69827259T DE69827259T2 (de) 1997-07-15 1998-07-15 Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP9/189767 1997-07-15
JP18976797 1997-07-15

Publications (1)

Publication Number Publication Date
WO1999003926A1 true WO1999003926A1 (fr) 1999-01-28

Family

ID=16246855

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1998/003186 WO1999003926A1 (fr) 1997-07-15 1998-07-15 Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation

Country Status (11)

Country Link
EP (1) EP0997497B1 (ja)
JP (1) JP4093596B2 (ja)
KR (1) KR100334150B1 (ja)
CN (1) CN1125138C (ja)
AT (1) ATE280806T1 (ja)
AU (1) AU8242798A (ja)
CA (1) CA2289782C (ja)
DE (1) DE69827259T2 (ja)
RU (1) RU2180671C2 (ja)
TW (1) TW401376B (ja)
WO (1) WO1999003926A1 (ja)

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4007807A1 (de) * 1990-03-12 1991-09-19 Trube & Kings Kg Reflexionsarmes wandelement fuer radarstrahlung
JPH11217458A (ja) * 1998-02-04 1999-08-10 Hitachi Chem Co Ltd 多孔質膜、その製造法及び物品
JP2000281329A (ja) * 1999-03-30 2000-10-10 Naohiro Soga 基板上に形成される多孔質材料の製造法
JP2001049178A (ja) * 1999-06-01 2001-02-20 Jsr Corp 膜形成用組成物、膜の形成方法および低密度化膜
JP2001049177A (ja) * 1999-06-01 2001-02-20 Jsr Corp 膜形成用組成物、膜の形成方法および低密度化膜
EP1088848A1 (en) * 1999-10-01 2001-04-04 Shipley Company LLC Porous materials
JP2001098218A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
JP2001098224A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
JP2001106914A (ja) * 1999-10-12 2001-04-17 Asahi Kasei Corp 絶縁薄膜製造用のシリカ前駆体/有機ポリマー組成物
EP1142832A1 (en) * 2000-04-04 2001-10-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
WO2001074957A1 (fr) * 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
JP2001287909A (ja) * 2000-04-04 2001-10-16 Asahi Kasei Corp 多孔質のケイ素酸化物塗膜
JP2001329217A (ja) * 2000-05-22 2001-11-27 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
JP2001335652A (ja) * 2000-05-29 2001-12-04 Jsr Corp 膜の製造方法および絶縁膜
JP2001351914A (ja) * 2000-06-05 2001-12-21 Japan Science & Technology Corp 多孔質低誘電率シリコン系絶縁膜をシリル化処理する方法
JP2002043422A (ja) * 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
JP2002043423A (ja) * 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
EP1197999A1 (en) * 1999-12-28 2002-04-17 Catalysts &amp; Chemicals Industries Co., Ltd. Method of forming low-dielectric-constant film, and semiconductor substrate with low-dielectric-constant film
JP2002212502A (ja) * 2001-01-15 2002-07-31 Shin Etsu Chem Co Ltd 膜形成用組成物、多孔質膜の形成方法及び多孔質膜
US6451436B1 (en) * 1998-09-25 2002-09-17 Catalysts & Chemicals Industries Co., Ltd. Coating liquid for forming a silica-containing film with a low-dielectric constant and substrate coated with such a film
JP2002289607A (ja) * 2001-03-27 2002-10-04 Nec Corp 絶縁膜の形成方法
JP2002319582A (ja) * 2002-02-07 2002-10-31 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用の塗布液
US6576681B2 (en) 2000-10-10 2003-06-10 Shipley Company, L.L.C. Antireflective porogens
US6583071B1 (en) 1999-10-18 2003-06-24 Applied Materials Inc. Ultrasonic spray coating of liquid precursor for low K dielectric coatings
JP2003179050A (ja) * 2001-09-25 2003-06-27 Jsr Corp 膜形成方法、絶縁膜ならびに半導体用基板
JP2003342017A (ja) * 2002-05-27 2003-12-03 Ishihara Chem Co Ltd 撥水性シリカ微粒子及びその製造方法
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
JP2005023075A (ja) * 2003-06-30 2005-01-27 Samsung Electronics Co Ltd 多官能性環状シロキサン化合物、この化合物から製造されたシロキサン系重合体及びこの重合体を用いた絶縁膜の製造方法
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP2005314711A (ja) * 2005-07-29 2005-11-10 Hitachi Chem Co Ltd 多孔質膜、物品及び複合材
JP2006117831A (ja) * 2004-10-22 2006-05-11 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
KR100710789B1 (ko) * 2000-02-28 2007-04-23 제이에스알 가부시끼가이샤 막 형성용 조성물, 막 형성 방법 및 실리카계 막
WO2007072750A1 (ja) * 2005-12-22 2007-06-28 Catalysts & Chemicals Industries Co., Ltd. 低誘電率非晶質シリカ系被膜形成用塗布液および該塗布液から得られる低誘電率非晶質シリカ系被膜
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
CN100335488C (zh) * 2002-01-31 2007-09-05 东粟株式会社 含有机硅烷化合物的绝缘膜用材料及其制法及半导体装置
WO2007142000A1 (ja) * 2006-06-02 2007-12-13 Ulvac, Inc. 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
WO2008111636A1 (ja) * 2007-03-13 2008-09-18 Mitsubishi Chemical Corporation シリカ多孔質体、光学用途積層体及び組成物、並びに、シリカ多孔質体の製造方法
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
WO2009044529A1 (ja) * 2007-10-05 2009-04-09 Nec Electronics Corporation 疎水化多孔質膜の製造方法
JP2009177198A (ja) * 2009-03-30 2009-08-06 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置
JP2009535479A (ja) * 2006-05-04 2009-10-01 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ 機械的可逆性ゲル
US7678712B2 (en) 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7807267B2 (en) 2002-09-09 2010-10-05 Mitsui Chemicals, Inc. Method of modifying porous film, modified porous film and use of same
US7858294B2 (en) 2000-06-23 2010-12-28 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
US7915159B2 (en) 2004-09-15 2011-03-29 Honeywell International Inc. Treating agent materials
US7915181B2 (en) 2003-01-25 2011-03-29 Honeywell International Inc. Repair and restoration of damaged dielectric materials and films
US8058139B2 (en) 2008-02-25 2011-11-15 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor devices
WO2017038781A1 (ja) * 2015-09-01 2017-03-09 日立化成株式会社 エアロゲル複合体
JP2018056596A (ja) * 2018-01-04 2018-04-05 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
JP2019054284A (ja) * 2018-12-12 2019-04-04 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
US11876137B2 (en) 2012-11-30 2024-01-16 Ricoh Company, Ltd. Field-effect transistor including a metal oxide composite protective layer, and display element, image display device, and system including the field-effect transistor

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
JP3571004B2 (ja) 2000-04-28 2004-09-29 エルジー ケム インベストメント エルティーディー. 半導体素子用超低誘電多孔性配線層間絶縁膜およびその製造方法ならびにそれを用いた半導体素子
US7115531B2 (en) * 2000-08-21 2006-10-03 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
TWI226103B (en) * 2000-08-31 2005-01-01 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
US6596467B2 (en) * 2000-09-13 2003-07-22 Shipley Company, L.L.C. Electronic device manufacture
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP2002285086A (ja) 2001-03-26 2002-10-03 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
JP5320653B2 (ja) * 2001-03-26 2013-10-23 Jsr株式会社 膜形成用組成物および絶縁膜形成用材料
US6998148B1 (en) 2001-03-28 2006-02-14 Shipley Company, L.L.C. Porous materials
KR20020095103A (ko) * 2001-06-11 2002-12-20 제이에스알 가부시끼가이샤 실리카막의 형성 방법, 실리카막, 절연막 및 반도체 장치
US6596404B1 (en) 2001-07-26 2003-07-22 Dow Corning Corporation Siloxane resins
EP1412435A2 (en) * 2001-07-26 2004-04-28 Dow Corning Corporation Siloxane resins
US6872456B2 (en) * 2001-07-26 2005-03-29 Dow Corning Corporation Siloxane resins
US20030069347A1 (en) * 2001-09-28 2003-04-10 Hideki Oishi Calcined silica particle and manufacturing method of same
CN1318506C (zh) * 2002-01-18 2007-05-30 三洋电机株式会社 制造有机-无机复合材料的方法和有机-无机复合材料
KR100594537B1 (ko) * 2002-01-18 2006-07-03 산요덴키가부시키가이샤 유기 무기 복합체의 제조 방법 및 유기 무기 복합체
CN100382017C (zh) * 2002-07-09 2008-04-16 徐肇昌 子序网模块及其调用方法
KR100495566B1 (ko) * 2002-08-08 2005-06-16 한국과학기술원 플라즈마 디스플레이 패널용 유전체 및 그 제조방법
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
JP2004311532A (ja) * 2003-04-02 2004-11-04 Semiconductor Leading Edge Technologies Inc 多孔質膜の形成方法
KR100506695B1 (ko) 2003-06-02 2005-08-08 삼성전자주식회사 실록산계 수지 및 이를 이용한 반도체 층간 절연막
KR100507967B1 (ko) 2003-07-01 2005-08-10 삼성전자주식회사 실록산계 수지 및 이를 이용한 반도체 층간 절연막
KR100504291B1 (ko) 2003-07-14 2005-07-27 삼성전자주식회사 게르마늄을 포함하는 실록산계 수지 및 이를 이용한반도체 층간 절연막 형성 방법
KR20050024721A (ko) 2003-09-01 2005-03-11 삼성전자주식회사 신규 실록산계 수지 및 이를 이용한 반도체 층간 절연막
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
KR101012950B1 (ko) * 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP5010098B2 (ja) 2003-11-24 2012-08-29 三星電子株式会社 分子多面体型シルセスキオキサンを用いた半導体層間絶縁膜の形成方法
KR101007807B1 (ko) 2003-12-13 2011-01-14 삼성전자주식회사 다반응성 선형 실록산 화합물, 상기 화합물로부터 제조된실록산 중합체 및 상기 중합체를 이용한 절연막 제조방법
DE102004025766A1 (de) * 2004-05-26 2005-12-22 Degussa Ag Herstellung von Organosilanestern
JP4595437B2 (ja) * 2004-08-12 2010-12-08 富士ゼロックス株式会社 難燃性生分解性材料及びその製造方法、難燃性生分解性高分子組成物、成形体及びその廃棄方法
JP2006120919A (ja) * 2004-10-22 2006-05-11 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
WO2006049595A1 (en) * 2004-10-27 2006-05-11 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR100685734B1 (ko) 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
DE102007017518A1 (de) * 2007-04-13 2008-10-16 Siemens Ag Biozide/hydrophobe Innenbeschichtung von Kondensatorrohren (von Industrieturbinen und Nebenkühlkreisen)
RU2481368C2 (ru) * 2008-12-18 2013-05-10 3М Инновейтив Пропертиз Компани Композиция для нанесения покрытия
KR101224514B1 (ko) 2010-07-05 2013-01-22 한국과학기술연구원 환형 실세스퀴옥산을 이용한 실록산계 저유전막 및 이의 제조방법
CN103212444A (zh) * 2013-04-03 2013-07-24 湖北大学 一种具有四重催化和吸附功能的有机-无机杂化多孔固体材料的合成方法
CN103690761B (zh) * 2013-12-25 2016-03-30 吉林修正药业新药开发有限公司 一种抗流感病毒的中药组合物及制备方法
WO2016022035A1 (en) * 2014-08-06 2016-02-11 Nokia Technologies Oy Apparatus comprising a self-assembled layer and method of surface modification
JP2018058914A (ja) * 2016-09-30 2018-04-12 富士フイルム株式会社 多孔質膜形成用組成物、多孔質膜形成用組成物の製造方法、多孔質膜の製造方法、積層体、及び太陽電池モジュール
KR102391710B1 (ko) * 2020-06-05 2022-04-28 연세대학교 산학협력단 다공성 투명 실록산계 중합체 기판 및 이의 제조방법
RU2763891C1 (ru) * 2021-03-09 2022-01-11 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Композиция на основе оксидных наноструктур для придания поверхности супергидрофобных свойств

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07100389A (ja) * 1993-10-04 1995-04-18 Nippon Oil Co Ltd 石油精製用触媒担体および石油精製用触媒
JPH08245278A (ja) * 1993-12-09 1996-09-24 Agency Of Ind Science & Technol セラミックス多孔質薄膜及びその製造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08130247A (ja) * 1994-10-31 1996-05-21 Nippon Zeon Co Ltd シロキサン系ポリマー含有塗布液及びその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07100389A (ja) * 1993-10-04 1995-04-18 Nippon Oil Co Ltd 石油精製用触媒担体および石油精製用触媒
JPH08245278A (ja) * 1993-12-09 1996-09-24 Agency Of Ind Science & Technol セラミックス多孔質薄膜及びその製造方法

Cited By (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4007807A1 (de) * 1990-03-12 1991-09-19 Trube & Kings Kg Reflexionsarmes wandelement fuer radarstrahlung
JPH11217458A (ja) * 1998-02-04 1999-08-10 Hitachi Chem Co Ltd 多孔質膜、その製造法及び物品
US6451436B1 (en) * 1998-09-25 2002-09-17 Catalysts & Chemicals Industries Co., Ltd. Coating liquid for forming a silica-containing film with a low-dielectric constant and substrate coated with such a film
JP2000281329A (ja) * 1999-03-30 2000-10-10 Naohiro Soga 基板上に形成される多孔質材料の製造法
JP2001049178A (ja) * 1999-06-01 2001-02-20 Jsr Corp 膜形成用組成物、膜の形成方法および低密度化膜
JP2001049177A (ja) * 1999-06-01 2001-02-20 Jsr Corp 膜形成用組成物、膜の形成方法および低密度化膜
JP2001098218A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
JP2001098224A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
US6602804B2 (en) 1999-10-01 2003-08-05 Shipley Company, L.L.C. Porous materials
JP2001210142A (ja) * 1999-10-01 2001-08-03 Shipley Co Llc 多孔性物質
JP4512250B2 (ja) * 1999-10-01 2010-07-28 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 多孔性物質
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
KR100674112B1 (ko) * 1999-10-01 2007-01-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 다공성 물질
SG93898A1 (en) * 1999-10-01 2003-01-21 Shipley Co Llc Porous materials
EP1088848A1 (en) * 1999-10-01 2001-04-04 Shipley Company LLC Porous materials
JP2001106914A (ja) * 1999-10-12 2001-04-17 Asahi Kasei Corp 絶縁薄膜製造用のシリカ前駆体/有機ポリマー組成物
JP4702970B2 (ja) * 1999-10-12 2011-06-15 旭化成株式会社 絶縁薄膜製造用のシリカ前駆体/有機ポリマー組成物
US6583071B1 (en) 1999-10-18 2003-06-24 Applied Materials Inc. Ultrasonic spray coating of liquid precursor for low K dielectric coatings
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1197999A1 (en) * 1999-12-28 2002-04-17 Catalysts &amp; Chemicals Industries Co., Ltd. Method of forming low-dielectric-constant film, and semiconductor substrate with low-dielectric-constant film
EP1197999A4 (en) * 1999-12-28 2006-04-12 Catalysts & Chem Ind Co PROCESS FOR PRODUCING LOW DIELECTRIC CONSTANT FILM AND SEMICONDUCTOR SUBSTRATE WITH LOW DIELECTRIC CONSTANT FILM
KR100710789B1 (ko) * 2000-02-28 2007-04-23 제이에스알 가부시끼가이샤 막 형성용 조성물, 막 형성 방법 및 실리카계 막
EP1142832A1 (en) * 2000-04-04 2001-10-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
JP2001287909A (ja) * 2000-04-04 2001-10-16 Asahi Kasei Corp 多孔質のケイ素酸化物塗膜
US6896955B2 (en) 2000-04-04 2005-05-24 Air Products & Chemicals, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
DE10196026B4 (de) * 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
WO2001074957A1 (fr) * 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
JP2001329217A (ja) * 2000-05-22 2001-11-27 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
JP2001335652A (ja) * 2000-05-29 2001-12-04 Jsr Corp 膜の製造方法および絶縁膜
JP2001351914A (ja) * 2000-06-05 2001-12-21 Japan Science & Technology Corp 多孔質低誘電率シリコン系絶縁膜をシリル化処理する方法
US7858294B2 (en) 2000-06-23 2010-12-28 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
JP2002043422A (ja) * 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
JP2002043423A (ja) * 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
US6599951B2 (en) 2000-10-10 2003-07-29 Shipley Company, L.L.C. Antireflective porogens
US6596405B2 (en) 2000-10-10 2003-07-22 Shipley Company, L.L.C. Antireflective porogens
US6576681B2 (en) 2000-10-10 2003-06-10 Shipley Company, L.L.C. Antireflective porogens
JP2002212502A (ja) * 2001-01-15 2002-07-31 Shin Etsu Chem Co Ltd 膜形成用組成物、多孔質膜の形成方法及び多孔質膜
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
JP2002289607A (ja) * 2001-03-27 2002-10-04 Nec Corp 絶縁膜の形成方法
JP2003179050A (ja) * 2001-09-25 2003-06-27 Jsr Corp 膜形成方法、絶縁膜ならびに半導体用基板
CN100335488C (zh) * 2002-01-31 2007-09-05 东粟株式会社 含有机硅烷化合物的绝缘膜用材料及其制法及半导体装置
JP2002319582A (ja) * 2002-02-07 2002-10-31 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用の塗布液
JP2003342017A (ja) * 2002-05-27 2003-12-03 Ishihara Chem Co Ltd 撥水性シリカ微粒子及びその製造方法
US7807267B2 (en) 2002-09-09 2010-10-05 Mitsui Chemicals, Inc. Method of modifying porous film, modified porous film and use of same
US7915181B2 (en) 2003-01-25 2011-03-29 Honeywell International Inc. Repair and restoration of damaged dielectric materials and films
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7750176B2 (en) 2003-06-30 2010-07-06 Samsung Electronics Co., Ltd. Multi-functional cyclic siloxane compound and process for preparing dielectric film by using siloxane-based polymer prepared from the compound
JP2005023075A (ja) * 2003-06-30 2005-01-27 Samsung Electronics Co Ltd 多官能性環状シロキサン化合物、この化合物から製造されたシロキサン系重合体及びこの重合体を用いた絶縁膜の製造方法
US7915159B2 (en) 2004-09-15 2011-03-29 Honeywell International Inc. Treating agent materials
JP4684619B2 (ja) * 2004-10-22 2011-05-18 東京応化工業株式会社 シリカ系被膜形成用塗布液、シリカ系被膜形成用塗布液の調製方法
JP2006117831A (ja) * 2004-10-22 2006-05-11 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
US7678712B2 (en) 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
JP2005314711A (ja) * 2005-07-29 2005-11-10 Hitachi Chem Co Ltd 多孔質膜、物品及び複合材
WO2007072750A1 (ja) * 2005-12-22 2007-06-28 Catalysts & Chemicals Industries Co., Ltd. 低誘電率非晶質シリカ系被膜形成用塗布液および該塗布液から得られる低誘電率非晶質シリカ系被膜
JP2009535479A (ja) * 2006-05-04 2009-10-01 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ 機械的可逆性ゲル
JP2007321092A (ja) * 2006-06-02 2007-12-13 Ulvac Japan Ltd 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
WO2007142000A1 (ja) * 2006-06-02 2007-12-13 Ulvac, Inc. 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US8394457B2 (en) 2006-06-02 2013-03-12 Ulvac, Inc. Precursor composition for porous thin film, method for preparation of the precursor composition, porous thin film, method for preparation of the porous thin film, and semiconductor device
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
WO2008111636A1 (ja) * 2007-03-13 2008-09-18 Mitsubishi Chemical Corporation シリカ多孔質体、光学用途積層体及び組成物、並びに、シリカ多孔質体の製造方法
WO2009044529A1 (ja) * 2007-10-05 2009-04-09 Nec Electronics Corporation 疎水化多孔質膜の製造方法
JP2009094183A (ja) * 2007-10-05 2009-04-30 Nec Electronics Corp 疎水化多孔質膜の製造方法
US8273410B2 (en) 2007-10-05 2012-09-25 Renesas Electronics Corporation Process for manufacturing hydrophobized microporous film
US8058139B2 (en) 2008-02-25 2011-11-15 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor devices
JP2009177198A (ja) * 2009-03-30 2009-08-06 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置
US11876137B2 (en) 2012-11-30 2024-01-16 Ricoh Company, Ltd. Field-effect transistor including a metal oxide composite protective layer, and display element, image display device, and system including the field-effect transistor
WO2017038781A1 (ja) * 2015-09-01 2017-03-09 日立化成株式会社 エアロゲル複合体
JP2018056596A (ja) * 2018-01-04 2018-04-05 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
JP2019054284A (ja) * 2018-12-12 2019-04-04 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム

Also Published As

Publication number Publication date
EP0997497B1 (en) 2004-10-27
ATE280806T1 (de) 2004-11-15
CN1257529A (zh) 2000-06-21
JP4093596B2 (ja) 2008-06-04
CN1125138C (zh) 2003-10-22
DE69827259D1 (de) 2004-12-02
RU2180671C2 (ru) 2002-03-20
TW401376B (en) 2000-08-11
DE69827259T2 (de) 2006-02-16
KR20010012887A (ko) 2001-02-26
EP0997497A4 (en) 2001-07-11
CA2289782C (en) 2004-07-13
KR100334150B1 (ko) 2002-04-25
CA2289782A1 (en) 1999-01-28
AU8242798A (en) 1999-02-10
EP0997497A1 (en) 2000-05-03

Similar Documents

Publication Publication Date Title
WO1999003926A1 (fr) Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d&#39;utilisation
US6448331B1 (en) Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
TWI250968B (en) Coating composition for use in producing a silica insulating thin film and method for producing a silica insulating thin film
JP2003501518A (ja) ポリカルボシランから生じた低誘電率ポリオルガノシリコンコーティング
JP2006500769A (ja) 低k材料用の中間層接着促進剤
KR20110021951A (ko) 다공성 물질의 제조 방법 및 그 방법으로 제조된 다공성 물질
CN1680466A (zh) 用多面体分子倍半硅氧烷,形成半导体器件用层间电介质膜的方法
JP2001115021A (ja) シリカ前駆体/有機ポリマー組成物
US6764718B2 (en) Method for forming thin film from electrically insulating resin composition
WO2004074355A1 (ja) 低誘電率材料、 その製造および使用
JP2000313612A (ja) 絶縁薄膜製造用組成物
CN1759135B (zh) 有机硅氧烷树脂以及使用该有机硅氧烷树脂的绝缘膜
CN1535300A (zh) 硅氧烷树脂
JPH10194719A (ja) 低誘電率シリカ質膜の形成方法及び同シリカ質膜
JP2000077399A (ja) シリカ系多孔質膜およびその製造方法
JP2003142476A (ja) 絶縁薄膜用の多孔性シリカ薄膜
JP2001118841A (ja) 多孔性シリカ
JP2001287910A (ja) 多孔質ケイ素酸化物塗膜の製造方法
JP2012136559A (ja) ポリシロキサン縮合反応物ワニスの製造方法
JP2004307692A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
JP2005015308A (ja) 多孔性シリカ膜、それを有する積層体
US7090896B2 (en) Process for producing dielectric layers by using multifunctional carbosilanes
JP2002201415A (ja) シリカ系被膜形成用塗布液、シリカ系被膜の製造方法及び半導体装置
JP2012104616A (ja) 低誘電率膜の前駆体組成物及びこれを用いた低誘電率膜の製造方法
JP4798823B2 (ja) 多孔質のケイ素酸化物塗膜

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 98805450.7

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE GH GM HR HU ID IL IS JP KE KG KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG US UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2289782

Country of ref document: CA

Ref document number: 2289782

Country of ref document: CA

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1998932538

Country of ref document: EP

Ref document number: 09423798

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1019997010853

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1998932538

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1019997010853

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1019997010853

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1998932538

Country of ref document: EP