US20040262254A1 - Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus - Google Patents
Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus Download PDFInfo
- Publication number
- US20040262254A1 US20040262254A1 US10/801,012 US80101204A US2004262254A1 US 20040262254 A1 US20040262254 A1 US 20040262254A1 US 80101204 A US80101204 A US 80101204A US 2004262254 A1 US2004262254 A1 US 2004262254A1
- Authority
- US
- United States
- Prior art keywords
- processed
- treatment system
- load lock
- treatment
- transfer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 238000012546 transfer Methods 0.000 title claims abstract description 213
- 238000000034 method Methods 0.000 title claims abstract description 104
- 238000012545 processing Methods 0.000 title claims abstract description 37
- 238000003672 processing method Methods 0.000 title claims description 10
- 238000011282 treatment Methods 0.000 claims abstract description 411
- 238000009489 vacuum treatment Methods 0.000 claims abstract description 241
- 230000008569 process Effects 0.000 claims abstract description 54
- 230000007246 mechanism Effects 0.000 claims abstract description 36
- 238000010438 heat treatment Methods 0.000 claims description 150
- 238000001816 cooling Methods 0.000 claims description 50
- 239000012530 fluid Substances 0.000 claims description 13
- 238000012544 monitoring process Methods 0.000 claims description 8
- 230000008030 elimination Effects 0.000 claims description 6
- 238000003379 elimination reaction Methods 0.000 claims description 6
- 230000003068 static effect Effects 0.000 claims description 6
- 238000004891 communication Methods 0.000 claims description 2
- 238000012937 correction Methods 0.000 claims description 2
- 230000004941 influx Effects 0.000 claims description 2
- 235000012431 wafers Nutrition 0.000 description 42
- 238000005530 etching Methods 0.000 description 39
- 239000007789 gas Substances 0.000 description 39
- 238000005229 chemical vapour deposition Methods 0.000 description 32
- 238000006243 chemical reaction Methods 0.000 description 11
- 238000010276 construction Methods 0.000 description 11
- 238000001312 dry etching Methods 0.000 description 10
- 239000010408 film Substances 0.000 description 9
- 238000010586 diagram Methods 0.000 description 8
- 239000010409 thin film Substances 0.000 description 7
- 238000001039 wet etching Methods 0.000 description 7
- 239000002245 particle Substances 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 238000009413 insulation Methods 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 230000000694 effects Effects 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 239000000758 substrate Substances 0.000 description 3
- 230000004913 activation Effects 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 239000012808 vapor phase Substances 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 235000011194 food seasoning agent Nutrition 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000009738 saturating Methods 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
Definitions
- the present invention relates to a processed object processing apparatus that processes objects to be processed, a processed object processing method, a pressure control method, a processed object transfer method, and a transfer apparatus, and in particular relates to a processed object processing apparatus that carries out CVD (chemical vapor deposition) or COR (chemical oxide removal) as an alternative to dry etching or wet etching, and more particularly, relates to a processed object processing apparatus comprising a plurality of treatment systems, a processed object transfer method for transferring the processed object therethrough, and a pressure control method for controlling a pressure therethrough.
- CVD chemical vapor deposition
- COR chemical oxide removal
- etching has been carried out to shape thin films using a chemical reaction.
- the etching process forms a set with a lithography process; in the lithography process, a resist pattern is formed, and then in the etching process the thin film is shaped in accordance with the resist pattern that has been formed.
- etching There are two types of etching, dry etching and wet etching.
- the most common type of dry etching is parallel plate reactive ion etching.
- a vacuum treatment chamber of a vacuum treatment apparatus processed object processing apparatus
- a wafer which is an object to be processed
- an etching gas is introduced into the vacuum treatment chamber.
- a stage on which the wafer is placed Inside the vacuum treatment chamber are provided a stage on which the wafer is placed, and an upper electrode which is parallel to and faces a wafer-placing surface of thee stage.
- a high-frequency voltage is applied to the stage, whereupon the etching gas is made into a plasma.
- Charged particles such as positive and negative ions and electrons, neutral active species that act as etching species, and so on exist scattered around in the plasma.
- an etching species is adsorbed onto a thin film on the wafer surface, a chemical reaction occurs at the wafer surface, and then products thus produced separate away from the wafer surface and are exhausted outside the vacuum treatment chamber, whereby etching proceeds.
- the etching species may be sputtered onto the wafer surface, whereby etching proceeds through a physical reaction.
- the high-frequency electric field is applied to the wafer surface perpendicularly thereto, and hence the etching species (radicals) also move in a direction perpendicular to the wafer surface.
- the etching thus proceeds in the direction perpendicular to the wafer surface, rather than proceeding isotropically over the wafer surface. That is, the etching does not spread sideways across the wafer surface. Dry etching is thus suitable for microprocessing.
- wet etching With wet etching, on the other hand, there is a dipping method in which the wafer is immersed in an etching bath containing a liquid chemical, and a spinning method in which a liquid chemical is sprayed onto the wafer while rotating the wafer. In either case, the etching proceeds isotropically, and hence sideways etching occurs. Consequently, wet etching cannot be used in microprocessing. Note, however, that wet etching is used even nowadays for processes such as completely removing a thin film.
- CVD chemical vapor deposition
- two or more reactant gases are reacted in the vapor phase or in the vicinity of the surface of a wafer or the like, and a product produced through the reaction is formed on the wafer surface as a thin film.
- the wafer is heated, and hence activation energy is supplied to the reactant gases by thermal radiation from the heated wafer, whereby the reaction of the reactant gases is excited.
- vacuum treatment apparatuses have been used to carry out various types of treatment such as film formation including CVD as described above, oxidation, diffusion, etching for shaping as described above, and annealing.
- a vacuum treatment apparatus is generally comprised of at least one load lock chamber, at least one transfer chamber, and at least one treatment chamber. At least two types of such vacuum treatment apparatus are known.
- One type is a multi-chamber type vacuum treatment apparatus.
- a vacuum treatment apparatus is comprised of three to six process chambers as vacuum treatment chambers, a vacuum preparation chamber (load lock chamber) having a transfer mechanism for transferring semiconductor wafers, i.e. objects to be processed, into and out of each of the process chambers, a polygonal transfer chamber around which are disposed the process chambers and the load lock chamber and which has in peripheral walls thereof a plurality of connecting ports for communicating in gas-tight fashion with the process chambers and the load lock chamber via gate valves, and a transfer arm that is provided inside the transfer chamber and is able to turn, elongate and contract (see, for example, Japanese Laid-open Patent Publication (Kokai) No. H08-46013).
- the other type is a vacuum treatment apparatus having chambers in a straight line.
- a vacuum treatment apparatus has a vacuum treatment chamber in which etching is carried out on semiconductor wafers, and a load lock chamber having built therein a scalar type single pick type or scalar type twin pick type transfer arm as transfer means for carrying out handover of the wafers between the load lock chamber and the vacuum treatment chamber. That is, a vacuum treatment chamber and a load lock chamber having a transfer arm built therein are taken as one module (see, for example, Japanese Laid-open Patent Publication (Kokai) No. 2001-53131 and Japanese Laid-open Patent Publication (Kokai) No. 2000-150618).
- etching treatment with either of the types of vacuum treatment apparatus, it has been that a high-frequency voltage is applied to an etching gas (reactive treatment gas) that has been introduced into a vacuum treatment chamber, thus making the reactive treatment gas into a plasma, whereby etching is carried out.
- etching gas reactive treatment gas
- the etching treatment is carried out with excellent perpendicular anisotropy due to the etching species being controlled according to the applied voltage, and hence etching can be carried out in conformance with the required line width for lithography.
- COR chemical oxide removal
- gas molecules are subjected to chemical reaction and products produced are attached to an oxide film on an object to be processed (wafer), and then the wafer is heated to remove the product, whereby a line width finer than that of a lithography pattern can be obtained.
- COR involves mild isotropic etching; the etching rate is controlled through parameters such as the pressure, the gas concentrations, the gas concentration ratio, the treatment temperature, the gas flow rates, and the gas flow rate ratio, and the etching stops through the treatment amount saturating beyond a certain treatment time period. The desired etching rate can thus be obtained by controlling the saturation point.
- Such COR is suitable for the manufacture of a sub-0.1 ⁇ m metal oxide semiconductor field effect transistor device comprised of a minimum-thickness poly-depletion layer, source/drain junctions having a metal silicide layer formed thereon, and very low sheet resistance poly-gates, the manufacture using a damascene-gate process comprised of source/drain diffusion activation annealing, and metal silicidation which occurs immediately before a dummy gate region that is subsequently removed and replaced with a polysilicon gate region (see, for example, the specification of U.S. Pat. No. 6440808).
- a processed object processing apparatus that processes objects to be processed, comprising a plurality of treatment systems that are communicably connected together in a line and in which the objects to be processed are processed, and a load lock system that is communicably connected to the treatment systems, the load lock system having a transfer mechanism that transfers the objects to be processed into and out of each of the treatment systems, and at least one of the treatment systems is a vacuum treatment system, and the load lock system is disposed in a position such as to form a line with the treatment systems.
- a processed object processing apparatus that processes objects to be processed, comprising a COR treatment system in which the objects to be processed are subjected to COR treatment, at least one vacuum treatment system in which the objects to be processed are subjected to other treatment, the COR treatment system and the at least one vacuum treatment system being communicably connected together in a line, and a load lock system that is communicably connected to the COR treatment system and the at least one vacuum treatment system, the load lock system having a transfer mechanism that transfers the objects to be processed into and out of each of the COR treatment system and the at least one vacuum treatment system.
- the at least one vacuum treatment system is a heat treatment system that is connected to the COR treatment system, heat treatment is carried out on objects to be processed that have been subjected to the COR treatment.
- the COR treatment system and the heat treatment system are always in a vacuum state.
- the load lock system is disposed in a position such as to form a line with the at least one vacuum treatment system.
- a processed object processing method for a processed object processing apparatus including at least a load lock system, a COR treatment system in which objects to be processed are subjected to COR treatment, a heat treatment system in which heat treatment is carried on the objects to be processed that have been subjected to the COR treatment, and a loader module communicably connected to the load lock system, the method comprising a first load lock system transfer-in step of transferring a first object to be processed into the load lock system, a first evacuating step of evacuating the load lock system after execution of the first load lock system transfer-in step, a first COR treatment system transfer-in step of transferring the first object to be processed into the COR treatment system after the evacuation in the first evacuating step has been completed, a COR treatment commencement step of commencing COR treatment on the first object to be processed, a second lock system transfer-in step of transferring a second object to be processed into the
- a pressure control method for a processed object processing apparatus comprising at least a load lock system, a COR treatment system in which objects to be processed are subjected to COR treatment, a heat treatment system in which heat treatment is carried out on objects to be processed that have been subjected to the COR treatment, and a loader module from and into which the objects to be processed are transferred into and from the load lock system, the method comprising a transfer-in step of placing the load lock system into an atmospheric pressure state and transferring an object to be processed that has not been subjected to COR treatment from the loader module into the load lock system, while evacuating the heat treatment system, a load lock system evacuating step of terminating the evacuation of the heat treatment system, and evacuating the load lock system down to a set pressure, a heat treatment system evacuating step of terminating the evacuation of the load lock system after the load lock system has reached the set pressure, and evacuating the
- the pressure control method further comprises a first pressure monitoring step of monitoring the pressure inside the heat treatment system, after execution of the first communicating step, a COR treatment system exhausting step of exhausting the COR treatment system while continuing to exhaust the heat treatment system such as to satisfy a condition that the pressure inside the heat treatment system is less than pressure inside the COR treatment system, and a second communicating step of terminating the exhaustion of the COR treatment system when the condition that the pressure inside the heat treatment system is less than the pressure inside the COR treatment system has been satisfied, and communicating the heat treatment system with the COR treatment system while continuing to exhaust the heat treatment system.
- the pressure control method according to the fourth aspect of the present invention further comprises an influx step of introducing fluid into the load lock system and the COR treatment system, after execution of the second communicating step.
- a flow rate of fluid from the load lock system into the heat treatment system, and a flow rate of fluid from the COR treatment system into the heat treatment system are equal to one another.
- the pressure control method according to the fourth aspect of the present invention further comprises an exhausting step of exhausting the heat treatment system and the COR treatment, thus setting the pressure inside the COR treatment system to a static elimination pressure for eliminating residual ESC charge, after an object to be processed that has been subjected to the COR treatment has been transferred out from the COR treatment system.
- a pressure control method for a processed object processing apparatus including at least a COR treatment system in which objects to be processed are subjected to COR treatment, and a heat treatment system in which heat treatment is carried out on the objects to be processed that have been subjected to the COR treatment, the method comprising a pressure monitoring step of monitoring pressure inside the heat treatment system while exhausting the heat treatment system a COR treatment system exhausting step of exhausting the COR treatment system such as to satisfy a condition that the pressure inside the heat treatment system is less than pressure inside the COR treatment system, and a communicating step of terminating the exhaustion of the COR treatment system when the condition that the pressure inside the heat treatment system is less than the pressure inside the COR treatment system has been satisfied, and communicating the heat treatment system with the COR treatment system.
- a processed object processing apparatus that processes objects to be processed, comprising a first treatment system in which the objects to be processed are subjected to first treatment, a second treatment system that is communicably connected to the first treatment system and in which the objects to be processed are subjected to second treatment, and a load lock system that is interposed between the first treatment system and the second treatment system and is communicably connected to each of the first treatment system and the second treatment system, the load lock system having a transfer mechanism that transfers the objects to be processed into and out of each of the first treatment system and the second treatment system.
- the second treatment system is a cooling treatment system in which cooling treatment is carried out on the objects to be processed that have been subjected to the first treatment.
- the first treatment system is always in a vacuum state
- the second treatment system is always in an atmospheric pressure state.
- the load lock system is disposed in a position such as to form a line with the first treatment system and the second treatment system.
- a processed object processing method for a processed object processing apparatus including at least a load lock system, a vacuum treatment system in which objects to be processed are subjected to vacuum treatment, an atmospheric treatment system in which cooling treatment is carried out on the objects to be processed that have been subjected to the vacuum treatment, and a loader module, the method comprising a load lock system transfer-in step of transferring an object to be processed from the loader module into the load lock system, a first vacuum/atmospheric pressure switching step of evacuating the load lock system after execution of the load lock system transfer-in step, a vacuum treatment system transfer-in step of transferring the object to be processed into the vacuum treatment system after execution of the first vacuum/atmospheric pressure switching step, a vacuum treatment step of carrying out vacuum treatment on the object to be processed that has been transferred into the vacuum treatment system, a load lock system transfer-out step of transferring the object to be processed that has been subjected to the vacuum
- a processed object transfer method for transfer means in a processed object processing apparatus including at least a load lock system having the transfer means which transfers objects to be processed, a vacuum treatment system in which the objects to be processed are subjected to vacuum treatment, a heat treatment system in which heat treatment is carried out on the objects to be processed that have been subjected to the vacuum treatment, and a loader module communicably connected to the load lock system, the method comprising a load lock system transfer-in step of transferring an object to be processed into the load lock system, an evacuating step of evacuating the load lock system after execution of the load lock system transfer-in step, a vacuum treatment system transfer-in step of transferring the object to be processed into the vacuum treatment system after the evacuation in the evacuating step has been completed a vacuum treatment commencing step of commencing vacuum treatment after execution of the vacuum treatment system transfer-in step, a first transfer step of transferring the object to be processed from the vacuum treatment system into the
- a processed object transfer method for transfer means in a processed object processing apparatus including a heat treatment system that has a first stage and in which heat treatment is carried out on an object to be processed which has been placed on the first stage, a vacuum treatment system that has a second stage and in which vacuum treatment is carried out on the object to be processed which has been placed on the second stage, a load lock system that is disposed for communication with the heat treatment system and the vacuum treatment system and has the transfer means which transfers the object to be processed, and a controller that controls the transfer means, the transfer means having a processed object holding part that holds the object to be processed and is freely movable through the heat treatment system and the vacuum treatment system, the processed object holding part having first detecting means for detecting information relating to whether or not the object to be processed is present, at least one of the first stage and the second stage having second detecting means for detecting information relating to whether or not the object to be processed is present, and the controller detecting a
- the processed object transfer method according to the ninth aspect of the present invention further comprises a processed object holding part rotating step of rotating the processed object holding part while the processed object holding part is still holding the object to be processed, so as to align a position of a reference plane of the object to be processed that has been subjected to the position correction with a predetermined position.
- the center of the object to be processed in the initial position is a center of the object to be processed in the load lock system before transfer.
- a processed object transfer method for transfer means in a processed object processing apparatus including a load lock system that is communicably connected to a heat treatment system having a first stage, in which heat treatment is carried out on an object to be processed which has been placed on the first stage, the load lock system being communicably connected, via the heat treatment system, to a vacuum treatment system having a second stage, in which vacuum treatment is carried out on the object to be processed which has been placed on the second stage, the load lock system having the transfer means which transfers the object to be processed, the transfer means comprising a transfer arm that comprises at least two arm-shaped members, the arm-shaped members being rotatably connected together at one end of each thereof, and a processed object holding part that is connected to another end of one of the arm-shaped members and holds the object to be processed, the method comprising a processed object moving step of rotating the processed article holding part in a plane parallel to a surface of the object to be processed about the other
- the arm-shaped members and the processed object holding part are rotated in cooperation with one another so as to move the object to be processed along a direction of disposition of the first stage and the second stage.
- a transfer apparatus that is provided in a load lock system that is communicably connected to a heat treatment system, having a first stage, in which heat treatment is carried out on an object to be processed which has been placed on the first stage, the load lock system being communicably connected, via the heat treatment system, to a vacuum treatment system having a second stage, in which vacuum treatment is carried out on the object to be processed which has been placed on the second stage, the transfer apparatus comprising a transfer arm that comprises at least two arm-shaped members, the arm-shaped members being rotatably connected together at one end of each thereof, and a processed object holding part that is connected to another end of one of the arm-shaped members and holds the object to be processed, and the processed object holding part is disposed to be rotated in a plane parallel to a surface of the object to be processed about the other end of the one of the arm-shaped members, and the one of the arm-shaped members is disposed to be rotated in a
- the arm-shaped members and the processed object holding part are disposed to be rotated in cooperation with one another so as to move the object to be processed along a direction of disposition of the first stage and the second stage.
- a plurality of treatment systems in which object to be processed are processed are communicably connected together, and at least one of the treatment systems is a vacuum treatment system.
- the operation of transferring the objects to be processed between the treatment systems can be simplified, and hence a plurality of processes including at least one vacuum treatment can be carried out efficiently.
- a COR treatment system in which objects to be processed are subjected to COR treatment, and at least one vacuum treatment system in which the objects to be processed are subjected to other treatment are communicably connected together, and a load lock system is communicably connected to the COR treatment system and the at least one vacuum treatment system.
- a heat treatment system for carrying out heat treatment is preferably connected to the COR treatment system. As a result, heat treatment can be carried out efficiently after the COR treatment.
- the COR treatment system and the heat treatment system are preferably always in a vacuum state.
- the respective treatments in the COR treatment system and the heat treatment system can be carried out one after the other without the vacuum being released, and hence there will be no adsorption of moisture onto the surface of an object to be processed after the COR treatment, and thus an oxide film on the object to be processed can be prevented from undergoing a chemical reaction after the COR treatment.
- the load lock system is preferably disposed in a position such as to form a line with the COR treatment system and the heat treatment system.
- heat treatment can be carried out in the heat treatment system on an object to be processed that has already been subjected to the COR treatment, and moreover an object to be processed that has not been subjected to the COR treatment can be prepared while awaiting completion of the COR treatment.
- the COR treatment and the heat treatment can be carried out efficiently, with no wastage of time during the sequence of processes.
- the heat treatment system before communicating the load lock system and the heat treatment system together, the heat treatment system is exhausted such as to satisfy the condition that the pressure inside the heat treatment system is less than the pressure inside the load lock system, and then the heat treatment system continues to be exhausted thereafter. As a result, the atmosphere in the heat treatment system can be prevented from getting into the load lock system.
- the heat treatment system is exhausted such as to satisfy the condition that that the pressure inside the heat treatment system is less than the pressure inside the COR treatment system, and then the heat treatment system and the COR treatment system are communicated together while continuing to exhaust the heat treatment system.
- a fluid is preferably introduced into the load lock system and the COR treatment system.
- the flow rate of the fluid from the load lock system into the heat treatment system and the flow rate of the fluid from the COR treatment system into the heat treatment system are preferably equal to one another.
- pressure equilibrium can be maintained in the heat treatment system, and moreover the exhaust flow direction can be fixed.
- the heat treatment system and the COR treatment are preferably exhausted, thus setting the pressure inside the COR treatment system to a static elimination pressure for eliminating residual ESC charge.
- ESC static elimination can be carried out without the atmosphere inside the heat treatment system getting into the COR treatment system.
- the heat treatment system before communicating the heat treatment system and the COR treatment system together, the heat treatment system is exhausted such as to satisfy the condition that the pressure inside the heat treatment system is less than the pressure inside the COR treatment system. As a result, the atmosphere in the heat treatment system can be prevented from getting into the COR treatment system.
- a cooling treatment system for carrying out cooling treatment is preferably connected to the first treatment system via the load lock system. As a result, cooling treatment can be carried out efficiently after the first treatment.
- the cooling treatment is preferably carried out in the second treatment system always in an atmospheric pressure state.
- the cooling treatment can be carried out in a short time period; moreover, the load lock system in which switching between a vacuum state and an atmospheric pressure state is carried out need not have a cooling mechanism, and hence the volume of the load lock system can be reduced, and thus the switching between the vacuum state and the atmospheric pressure state can be carried out in a short time period.
- the plurality of processes can be carried out more efficiently.
- an object to be processed (wafer) will not be exposed to air convection due to the switching between a vacuum state and an atmospheric pressure state for a long time period, and hence the risk of attachment of particles caused to fly up by such convection can also be reduced.
- the load lock system is preferably disposed in a position such as to form a line with the first treatment system and the second treatment system.
- the second vacuum/atmospheric pressure switching step and the atmospheric treatment step carried out after the object to be processed (wafer) has been subjected to the vacuum treatment are separated.
- the total time required for these steps can be reduced, and hence the plurality of processes can be carried out efficiently.
- the atmospheric treatment step is reached only after the load lock system transfer-out step, the second vacuum/atmospheric pressure switching step and the atmospheric treatment system transfer-out step, and hence the cooling of the object to be processed (wafer) proceeds even before the atmospheric treatment step, and thus the cooling treatment in the atmospheric treatment step can be carried out efficiently.
- the transfer means transfers an object to be processed into the load lock system, and then, after evacuation of the load lock system has been completed, transfers the object to be processed into the vacuum treatment system, and then, after the vacuum treatment has been completed, transfers the object to be processed from the vacuum treatment system into the heat treatment system, and then, after the heat treatment has been completed, transfers the object to be processed into the load lock system, and then transfers the object to be processed out into the loader module.
- the operation of transferring the object to be processed between the treatment systems can be simplified, and hence a plurality of processes including at least one vacuum treatment can be carried out efficiently.
- a first relative positional relationship between the center of the object to be processed in an initial position and the center of the stage is detected, a transfer route for the object to be processed is determined based on the detected first relative positional relationship, and the object to be processed is transferred along the determined transfer route.
- the transfer route to the stage can be set to be short.
- a second relative positional relationship between the center of the object to be processed after having been transferred to the stage and the center of the object to be processed in the initial position is detected, and the position of the object to be processed is corrected based on a difference between the first relative positional relationship and the second relative positional relationship.
- the object to be processed can be placed in an accurate position on the stage, and hence the efficiency of the transfer operation can be improved, and thus a plurality of processes can be carried out efficiently.
- the processed object holding part is preferably rotated while the processed object holding part is still holding the object to be processed.
- the position of a reference plane of the object to be processed relative to the stage can easily be aligned with a predetermined position, and hence the efficiency of the transfer operation can be further improved.
- the transfer means possessed by the load lock system which is communicably connected to a heat treatment system and a vacuum treatment system, comprises a transfer arm that comprises at least two arm-shaped members that are rotatably connected together at one end of each thereof, and a processed object holding part that is connected to the other end of one of the arm-shaped members and holds an object to be processed; the processed object holding part is rotated in a plane parallel to a surface of the object to be processed about the other end of the one of the arm-shaped members, and the one of the arm-shaped members is rotated in a plane parallel to the surface of the object to be processed about the one end of the one of the arm-shaped members, and the other one of the arm-shaped members is rotated in a plane parallel to the surface of the object to be processed about the other end of the other one of the arm-shaped members.
- the object to be processed can be transferred along a freely chosen transfer route to a freely chosen position in the heat treatment system
- the arm-shaped members and the processed object holding part are preferably rotated in cooperation with one another so as to move the object to be processed along a direction of disposition of the first stage and the second stage.
- the processed article transfer route can be made shorter, and hence the efficiency of the transfer operation can be further improved.
- FIG. 1 is a plan view schematically showing the construction of a vacuum treatment apparatus according to a first embodiment of the present invention
- FIG. 2 is a side view schematically showing the construction of the vacuum treatment apparatus shown in FIG. 1;
- FIGS. 3A and 3B are diagram showing the first half of a processed object transfer sequence for the vacuum treatment apparatus shown in FIG. 1;
- FIGS. 4A and 4B are diagram showing the latter half of the transfer sequence, the first half of which is shown in FIGS. 3A and 3B;
- FIG. 5 is a diagram showing a timing chart for pressure control in the vacuum treatment apparatus shown in FIG. 1;
- FIG. 6 is a plan view schematically showing the construction of a vacuum treatment apparatus according to a second embodiment of the present invention.
- FIGS. 8A and 8B are diagram showing a processed object transfer sequence for the vacuum treatment apparatus shown in FIG. 6.
- FIG. 1 is a plan view schematically showing the construction of the vacuum treatment apparatus according to a first embodiment of the present invention.
- FIG. 2 is a side view schematically showing the construction of the vacuum treatment apparatus shown in FIG. 1.
- the vacuum treatment apparatus 100 has a first vacuum treatment chamber 10 in which objects to be processed (hereinafter referred to as “processed objects”) such as semiconductor wafers are processed, a second vacuum treatment chamber 30 that is connected in a line with and communicably to the first vacuum treatment chamber 10 and in which the processed objects are processed, a load lock chamber 50 that is communicably connected to the second vacuum treatment chamber 30 in a position in a line with the first vacuum treatment chamber 10 and the second vacuum treatment chamber 30 , and a loader module 70 that is communicably connected to the load lock chamber 50 .
- processed objects objects to be processed
- second vacuum treatment chamber 30 that is connected in a line with and communicably to the first vacuum treatment chamber 10 and in which the processed objects are processed
- a load lock chamber 50 that is communicably connected to the second vacuum treatment chamber 30 in a position in a line with the first vacuum treatment chamber 10 and the second vacuum treatment chamber 30
- a loader module 70 that is communicably connected to the load lock chamber 50 .
- the first vacuum treatment chamber 10 has provided therein a stage 11 on which a processed object is placed when treatment is being carried out, and a processed object holder 12 for carrying out handover of the processed object.
- a gas supply system 13 for supplying N 2 gas or the like is connected to the first vacuum treatment chamber 10 at an upper portion thereof, and an exhaust system pressure control valve 14 is attached to the first vacuum treatment chamber 10 at a lower portion thereof.
- a pressure measuring instrument (not shown) for measuring the pressure inside the first vacuum treatment chamber 10 is installed in the first vacuum treatment chamber 10 .
- a transfer port (not shown) for transferring processed objects into and out of the first vacuum treatment chamber 10 is provided in a side wall of the first vacuum treatment chamber 10 .
- a first transfer port (not shown) is similarly provided in the second vacuum treatment chamber 30 .
- the portion of the first vacuum treatment chamber 10 in which the transfer port is provided and the portion of the second vacuum treatment chamber 30 in which the first transfer port is provided are connected together by a connecting unit 20 .
- the connecting unit 20 is comprised of a gate valve 21 and a thermal insulation unit 22 for isolating the interiors of the first vacuum treatment chamber 10 and the second vacuum treatment chamber 30 from the ambient atmosphere.
- the second vacuum treatment chamber 30 has provided therein a stage 31 on which a processed object is placed when treatment is being carried out, and a processed object holder 32 for carrying out handover of the processed object.
- a gas supply system 33 for supplying N 2 gas or the like is connected to the first vacuum treatment chamber 30 at an upper portion thereof, and an exhaust system pressure control valve 34 is attached to the first vacuum treatment chamber 30 at a lower portion thereof.
- a pressure measuring instrument (not shown) for measuring the pressure inside the second vacuum treatment chamber 30 is installed in the second vacuum treatment chamber 30 .
- a second transfer port (not shown) is also provided in the second vacuum treatment chamber 30 .
- a first transfer port (not shown) is similarly provided in the load lock chamber 50 .
- the portion of the second vacuum treatment chamber 30 in which the second transfer port is provided and the portion of the load lock chamber 50 in which the first transfer port is provided are connected together by a connecting unit 40 .
- the first vacuum treatment chamber 10 , the second vacuum treatment chamber 30 and the load lock chamber 50 are thus disposed in a line.
- the connecting unit 40 is comprised of a gate valve 41 and a thermal insulation unit 42 for isolating the insides of the second vacuum treatment chamber 30 and the environment in the load lock chamber 50 from the ambient atmosphere.
- the load lock chamber 50 has provided therein a processed object holding part 51 that holds a processed object during transfer so that handover of the processed object can be carried out, and a transfer mechanism 52 for transferring the processed object holding part 51 into the first vacuum treatment chamber 10 , the second vacuum treatment chamber 30 and the loader module 70 .
- the transfer mechanism 52 transferring the processed object holding part 51 holding a processed object, the processed object can be transferred between the first vacuum treatment chamber 10 , the second vacuum treatment chamber 30 and the loader module 70 , and handover of the processed object can be carried out.
- a gas supply system 53 for supplying N 2 gas or the like is connected to the load lock chamber 50 at an upper portion thereof, and an exhaust system 80 is connected to the load lock chamber 50 at a lower portion thereof.
- a pressure measuring instrument (not shown) for measuring the pressure inside the load lock chamber 50 is installed in the load lock chamber 50 .
- a second transfer port (not shown) is also provided in the load lock chamber 50 .
- a transfer port (not shown) is similarly provided in the loader module 70 .
- the portion of the load lock chamber 50 in which the second transfer port is provided and the portion of the loader module 70 in which the transfer port is provided are connected together by a connecting unit 60 .
- the connecting unit 60 is comprised of a door valve 61 and a thermal insulation unit 62 for isolating the interiors of the load lock chamber 50 and the environment in the loader module 70 from the ambient atmosphere.
- vacuum treatment apparatus 100 there are two vacuum treatment chambers, i.e. a first vacuum treatment chamber 10 and a second vacuum treatment chamber 30 , connected together in a line.
- the number of vacuum treatment chambers is not limited to two, but rather three or more vacuum treatment chambers may be connected together in a line.
- a processed object transfer sequence is carried out as will be described later; however, in the case that a processed object is not transferred properly, the processed object transfer sequence must be suspended immediately to prevent the processed object from being subjected to improper treatment.
- the vacuum treatment apparatus 100 must thus have the ability to accurately grasp the positions of the processed objects being transferred.
- the vacuum treatment apparatus 100 thus has a plurality of position sensors as described below.
- component parts with which each processed object comes into direct contact specifically the stage 31 (or the processed object holder 32 ), the transfer mechanism 52 (or the processed object holding part 51 ), and a stage (not shown) provided inside the load lock chamber 50 for temporarily holding the processed objects, each have a position sensor, and using these position sensors it is detected whether or not a processed object is present. Moreover, whether or not a processed object is present is detected according to the status of an ESC chuck provided in the stage 11 inside the first vacuum treatment chamber 10 or using a position sensor.
- Creating software for detecting the positions of processed objects based on information obtained through the detection would be easy for a person skilled in the art in the field of vacuum treatment apparatuses; through such software, for example, a controller (not shown) that controls the operation of the transfer mechanism 52 and so on can detect the positions of processed objects being transferred through the vacuum treatment apparatus 100 .
- position sensor units 90 , 91 , 92 , 93 , 94 and 95 are provided along the processed object transfer route in positions on either side of each of the gate valves 21 and 41 and the door valve 61 .
- Each of the position sensor units is comprised of three position sensors, for example laser sensors, that point to an outer periphery of the processed object; the laser sensors are radially disposed facing the outer periphery of the processed object, or are disposed in positions corresponding to the outer periphery of the processed object, and not only detect the position of the processed object, but also detect the center position of the processed object.
- the controller detects a first relative positional relationship between the center position of a processed object in the load lock chamber 50 before transfer (hereinafter referred to as the “initial position”) and the center position of the stage 11 or 31 , determines a transfer route for the processed object based on the detected first relative positional relationship, transfers the processed object along the determined transfer route, and then detects a second relative positional relationship between the center position of the processed object that has been transferred to the stage 11 or 31 and the initial position, and corrects the position of the processed object on the stage 11 or 31 based on the difference between the first and second relative positional relationships.
- the transfer route to each stage can be set to be short, and moreover each processed object can be placed in an accurate position on each of the stages 11 and 31 , and hence the efficiency of the transfer operation can be improved, and thus the plurality of processes can be carried out efficiently.
- the transfer mechanism 52 is a transfer arm comprised of an articulated arm of a scalar type single pick type, a scalar type twin pick type or the like.
- a connecting pulley is disposed at a base portion of the transfer arm, and this connecting pulley is connected to a support pulley disposed at a joint of the arm via a timing belt, whereby a rotational driving force is transmitted to the support pulley.
- the connecting pulley is also connected via another timing belt to a rotational angle pulley possessed by an encoder that detects the rotational angle of the arm.
- the encoder electrically stores the rotation starting position of the rotational angle pulley, i.e. the starting position for movement of the transfer arm, as an origin, and moreover detects the moved distance of the transfer arm by detecting the rotational angle of the rotational angle pulley rotatably driven by the other timing belt in the form of a digital signal using a rotational angle sensor, and outputs the detected moved distance as teaching data used in the transfer of a processed object, for example in judging whether or not positioning of the processed object has been carried out accurately.
- the vacuum treatment apparatus 100 judges whether or not positioning of a processed object, in particular, positioning of a processed object on the stage 11 or 13 , has been carried out accurately, by comparing the position of the processed object detected by the position sensors with the teaching data outputted by the encoder.
- the transfer arm that serves as the transfer mechanism 52 is comprised of at least two arm-shaped members.
- the two arm-shaped members are rotatably connected together at one end of each thereof, and the processed object holding part 51 is connected to the other end of one of the two arm-shaped members.
- the processed object holding part 51 rotates in a plane parallel to the surface of the processed object about the other end of the one of the arm-shaped members, and moreover the one of the arm-shaped members rotates in a plane parallel to the surface of the processed object about the one end of the one of the arm-shaped members, and the other arm-shaped member rotates in a plane parallel to the surface of the processed object about the other end of the other arm-shaped member.
- each processed object can be transferred along a freely chosen transfer route to a freely chosen position in the second vacuum treatment chamber 30 or the first vacuum treatment chamber 10 , and hence the efficiency of the transfer operation can be improved, and thus the plurality of processes can be carried out efficiently.
- the two arm-shaped members and the processed object holding part 51 rotate in cooperation with one another so as to move each processed object along a freely chosen transfer route, for example along the direction of disposition of the stages 11 and 13 .
- a freely chosen transfer route for example along the direction of disposition of the stages 11 and 13 .
- the processed object holding part 51 rotates while still holding the processed object so as to align the position of an orientation flat (reference plane) of the processed object (wafer) with a predetermined position.
- the position of the orientation flat of the wafer relative to the stage 11 or 31 can easily be aligned with the predetermined position, and hence the operational efficiency can be further improved.
- FIGS. 3A and 3B are diagram showing the first half of the processed object transfer sequence for the vacuum treatment apparatus 100 shown in FIG. 1.
- FIGS. 4A and 4B are diagram showing the latter half of the transfer sequence, the first half of which is shown in FIGS. 3A and 3B.
- the vacuum treatment apparatus 100 carries out COR (chemical oxide removal) and PHT (post heat treatment) on processed objects as an alternative to conventional etching treatment (dry etching or wet etching).
- COR is treatment in which gas molecules are subjected to chemical reaction and the products produced are attached to an oxide film on a processed object
- PHT is treatment in which the processed object that has been subjected to the COR is heated, thus subjecting the products produced on the processed object through the chemical reaction in the COR to vaporization and thermal oxidation, and hence driving these products off from the processed object.
- an oxide layer (oxide film) or polysilicon exposed after removing a polysilicon layer in gate regions of the predetermined layer is selectively etched; with this COR, the etching rate is controlled such that the progress of the etching stops at the surface of the substrate.
- this COR includes a vapor phase chemical oxide removal process for forming gate openings that can be realized at low pressure by using a vapor of HF and NH 3 as an etchant gas.
- the first vacuum treatment chamber 10 is made to be a COR treatment chamber 10 in which the COR is carried out on the processed objects
- the second vacuum treatment chamber 30 is made to be a PHT treatment chamber 30 in which the PHT is carried out on the processed objects.
- the gas supply system 13 of the COR treatment chamber 10 is preferably a shower head, in which case the introduced gas can be supplied uniformly through the COR treatment chamber 10 .
- the volume of the COR treatment chamber 10 is approximately 30 liters, the pressure inside the COR treatment chamber 10 is in a range of 0.5 to 30 mTorr, the temperature inside the COR treatment chamber 10 is in a range of 15 to 50° C., and the introduced gas is a fluorine-containing reactive gas, a reducing gas, an inert gas or the like.
- Inert gases include Ar, He, Ne, Kr and Xe gases, but Ar gas is preferable.
- the volume of the PHT treatment chamber 30 is approximately 50 liters, and the pressure inside the PHT treatment chamber 30 is reduced in two stages, with the pressure during the processing being different to the pressure during the transfer.
- there is no limitation to reducing the pressure in two stages but rather multi-stage pressure reduction in which the pressure is reduced in more than two stages may be carried out in accordance with the process conditions.
- the temperature inside the PHT treatment chamber 30 is in a range of 80 to 200° C.
- the vacuum pump exhausting rate is in a range of 1600 to 1800 L/min (when at 200 mTorr), and in a range of 0 to 100 L/min when the processing is completed (when at 0.5 mTorr), although once the desired degree of vacuum in the PHT treatment chamber 30 has been attained, the pump is not operated.
- the gas introduced into the PHT treatment chamber 30 is for preventing scattering of particles and for cooling, and is a downflow gas (N 2 ). As shown in ( 1 ) in FIGS.
- a processed object W 1 is in the loader module 70 , and the connecting units 20 and 40 are in a closed state, and hence the COR treatment chamber 10 and the PHT treatment chamber 30 are isolated from one another.
- the connecting unit 60 is in an open state.
- the processed object W 1 has already had a predetermined pattern formed on a surface thereof using conventional treatment.
- the first processed object W 1 is transferred from the loader module 70 into the load lock chamber 50 , and then the door valve 61 of the connecting unit 60 is closed.
- the exhaust system pressure control valve 34 is closed, and the load lock chamber 50 is evacuated.
- the exhaust system pressure control valve 34 is opened, and the gate valve 41 of the connecting unit 40 is opened.
- the gate valve 21 of the connecting unit 20 is opened.
- the processed object W 1 held by the processed object holding part 51 is transferred into the COR treatment chamber 10 by the transfer mechanism 52 , and then as shown in ( 5 ), after the processed object holding part 51 and the transfer mechanism 52 have returned into the load lock chamber 50 , the gate valves 21 and 41 are closed, and the COR is started. During this treatment, the interior of the load lock chamber 50 is opened to the atmospheric air.
- a second processed object W 2 is transferred from the loader module 70 into the load lock chamber 50 , and then the door valve 61 is closed, and moreover the exhaust system pressure control valve 34 is closed, and evacuation of the load lock chamber 50 is started. After the evacuation of the load lock chamber 50 has been completed, the exhaust system pressure control valve 34 and the gate valve 41 are opened, and completion of the COR is awaited.
- the processed object W 2 is moved from the load lock chamber 50 into the COR treatment chamber 10 , and then as shown in ( 12 ), after the processed object holding part 51 and the transfer mechanism 52 have returned into the load lock chamber 50 , the gate valves 21 and 41 are closed, and the COR is started in the COR treatment chamber 10 while the PHT is started in the PHT treatment chamber 30 .
- the gate valve 41 is closed and the interior of the load lock chamber 50 is opened to the atmospheric air, and then the processed object W 1 in the load lock chamber 50 and a third processed object W 3 waiting in the loader module 70 are replaced with one another.
- the load lock chamber 50 is evacuated.
- the gate valve 41 is then opened, and completion of the COR on the processed object W 2 is awaited.
- the transfer sequence described above is accompanied by pressure control. The transfer sequence described above is repeated until processing of the whole lot of processed objects is completed.
- the transfer mechanism 52 transfers the processed object W 1 into the load lock chamber 50 , and after evacuation of the load lock chamber 50 has been completed, transfers the processed object W 1 into the COR treatment chamber 10 , and after the COR has been completed, moves the processed object W 1 from the COR treatment chamber 10 into the PHT treatment chamber 30 , and after the PHT has been completed, moves the processed object W 1 in the PHT treatment chamber 30 into the load lock chamber 50 , and then further transfers the processed object W 1 out into the loader module 70 .
- the operation of transferring the processed object W 1 between the plurality of treatment chambers can be simplified, and hence the plurality of processes including at least one COR treatment can be carried out efficiently.
- First treatment duration Duration of treatment in first vacuum treatment chamber 10
- Second treatment duration Duration of treatment in second vacuum treatment chamber 30
- First switching duration Time period taken to replace processed objects between load lock chamber 50 and second vacuum treatment chamber 30
- Second switching duration Time period taken to switch processed objects between load lock chamber 50 and loader module 70
- the first vacuum treatment chamber 10 and the second vacuum treatment chamber 30 can be comprised of a suitable combination of required modules selected from etching systems, film formation systems, coating systems, measurement systems, heat treatment systems and so on, with there being no limitation to the example described above.
- FIG. 5 is a diagram showing a timing chart for the pressure control in the vacuum treatment apparatus 100 .
- an exhaust valve of the load lock chamber 50 (LLM exhaust valve, not shown in FIG. 1 or 2 ) is closed, the PHT exhaust valve 34 is opened, and control is carried out such that the pressure inside the PHT treatment chamber 30 becomes less than the pressure inside the load lock chamber 50 ; once it has been confirmed that this control has been completed, the gate valve 41 (hereinafter referred to as the “PHT-side gate valve 41 ”) between the load lock chamber 50 and the PHT treatment chamber 30 is opened, thus communicating the PHT treatment chamber 30 to the load lock chamber 50 .
- LDM exhaust valve not shown in FIG. 1 or 2
- the PHT exhaust valve 34 is kept open even after the PHT-side gate valve 41 has been opened, thus evacuating the PHT treatment chamber 30 and hence preventing the PHT atmosphere from getting into the load lock chamber 50 .
- a fluid (N 2 ) may be deliberately made to flow in from the load lock chamber 50 to prevent convection and so on from occurring.
- the exhaust system pressure control valve 14 (hereinafter referred to as the “COR exhaust valve 14 ”) attached to the COR treatment chamber 10 is closed, and the gate valve 21 (hereinafter referred to as the “COR-side gate valve 21 ”) between the PHT treatment chamber 30 and the COR treatment chamber 10 is opened.
- the PHT exhaust valve 34 is kept open even after the COR-side gate valve 21 has been opened, thus evacuating the PHT treatment chamber 30 and hence preventing the atmosphere inside the PHT treatment chamber 30 from getting into the COR treatment chamber 10 .
- a fluid (N 2 ) may be deliberately made to flow in from the COR treatment chamber 10 to prevent convection and so on from occurring.
- the PHT-side gate valve 41 is opened using the sequence described in 1 ) above, and then taking the load lock chamber 50 and the PHT treatment chamber 30 to be a single module, the COR-side gate valve 21 is opened using the sequence described in 2 ) above.
- the PHT exhaust valve 34 is kept open even after the PHT-side gate valve 41 and the COR-side gate valve 21 have been opened, thus evacuating the PHT treatment chamber 30 and hence preventing the atmosphere inside the PHT treatment chamber 30 from getting into the load lock chamber 50 or the COR treatment chamber 10 .
- a fluid may be deliberately made to flow into the load lock chamber 50 and the COR treatment chamber 10 to prevent convection and so on from occurring, and by making the flow rate of the fluid into the PHT treatment chamber 30 from the load lock chamber 50 be equal to that from the COR treatment chamber 10 , backflow can be prevented from occurring.
- the treatment in the PHT treatment chamber 30 and the COR treatment chamber 10 can be carried out continuously always in a vacuum state, and hence a chemical reaction in which the oxide film on the processed object after the COR absorbs moisture from the atmosphere or the like can be prevented from occurring.
- wafers that will be used as products were transferred as the processed objects; however, the transferred processed objects are not limited to product wafers, but rather may also be dummy wafers for inspecting the operation of the treatment chambers and devices of the vacuum treatment apparatus 100 , or other dummy wafers used in seasoning the treatment chambers.
- FIG. 6 is a plan view schematically showing the construction of the vacuum treatment apparatus according to the second embodiment of the present invention.
- FIG. 7 is a side view schematically showing the construction of the vacuum treatment apparatus shown in FIG. 6.
- the vacuum treatment apparatus 600 has a vacuum treatment chamber 601 in which processed objects are subjected to vacuum treatment, an atmospheric treatment chamber 602 that is connected in a line with and communicably to the vacuum treatment chamber 601 and in which the processed objects are subjected to other treatment, a load lock chamber 603 that is situated between the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 and is communicably connected to the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 in a position such as to form a line with the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 , and a loader module 604 that is communicably connected to the atmospheric treatment chamber 602 .
- the vacuum treatment chamber 601 has provided therein a stage 605 that serves both as a platform on which to place a processed object and as a lower electrode to which is applied a high-frequency voltage for generating plasma inside the vacuum treatment chamber 601 when carrying out treatment, a heater 606 that is built into the stage 605 and heats the processed object placed on the stage 605 , a shower head 607 that serves both as a supply system that supplies a reactive gas into the vacuum treatment chamber 601 and as an upper electrode for generating a high-frequency electric field inside the vacuum treatment chamber 601 in cooperation with the stage 605 that serves as the lower electrode, a discharge port 608 that has a freely openable/closable valve (not shown) and from which the plasma and product residue generated inside the vacuum treatment chamber 601 are discharged, and a pressure measuring instrument (not shown) for measuring the pressure inside the vacuum treatment chamber 601 .
- the interior of the vacuum treatment chamber 601 is always in a vacuum state, and here is in a state such that vacuum treatment can be carried out.
- a transfer port (not shown) for transferring processed objects into and out of the first vacuum treatment chamber 601 is provided in a side wall of the vacuum treatment chamber 601 .
- a transfer port (not shown) is similarly provided in a side wall of the load lock chamber 603 disposed adjacent to the vacuum treatment chamber 601 .
- the portions of the vacuum treatment chamber 601 and the load lock chamber 603 in which the transfer ports are provided are connected together by a connecting unit 611 .
- the connecting unit 611 is comprised of a gate valve 612 and a thermal insulation unit 613 for isolating the interiors of the vacuum treatment chamber 601 and the environment in the load lock chamber 603 from the ambient atmosphere.
- the atmospheric treatment chamber 602 has provided therein a stage 609 on which a processed object is placed, and a holder 610 that holds the processed object placed on the stage 609 .
- the stage 609 has built therein a cooling circuit (not shown) as a cooling mechanism through which a coolant can circulate, whereby the processed object placed on the stage 609 is cooled.
- the interior of the atmospheric treatment chamber 602 is always opened to the atmospheric air. Therefore, cooling treatment in which a processed object that has been heated during CVD or the like is cooled can thus be carried out at atmospheric pressure in the atmospheric treatment chamber 602 .
- the atmospheric treatment chamber 602 may have an inlet port through which a downflow gas for cooling, for example an inert gas such as N 2 , Ar or He gas, is introduced into the atmospheric treatment chamber 602 .
- a downflow gas for cooling for example an inert gas such as N 2 , Ar or He gas
- a transfer port (not shown) for transferring processed objects into and out of the atmospheric treatment chamber 602 is provided in a side wall of the atmospheric treatment chamber 602 .
- another transfer port (not shown) is similarly provided in a side wall of the load lock chamber 603 disposed adjacent to the atmospheric treatment chamber 602 .
- the portions of the atmospheric treatment chamber 602 and the load lock chamber 603 in which the transfer ports are provided are connected together by a connecting unit 614 .
- the connecting unit 614 is comprised of a gate valve 615 and a thermal insulation unit 616 for isolating the interiors of the atmospheric treatment chamber 602 and the environment in the load lock chamber 603 from the ambient atmosphere.
- the load lock chamber 603 has provided therein a processed object holding part 617 that holds a processed object during transfer so that handover of the processed object can be carried out, and a transfer mechanism 618 for transferring the processed object holding part 617 into the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 .
- the transfer mechanism 618 transferring the processed object holding part 617 holding a processed object, the processed object can be transferred between the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 , and handover of the processed object can be carried out.
- the volume inside the load lock chamber 603 is set such as to secure the minimum space required such that the operation of the transfer mechanism 618 will not be hampered.
- a pipe 619 that communicates the interior of the load lock chamber 603 to the outside is provided in the load lock chamber 603 at a lower portion thereof.
- An evacuating pump 623 such as a turbo-molecular pump, and a valve 624 that enables the interior of the load lock chamber 603 and the evacuating pump 623 to be communicated with one another or shut off from one another are disposed in the pipe 619 .
- a pressure measuring instrument (not shown) for measuring the pressure inside the load lock chamber 603 is installed in the load lock chamber 603 .
- a gas supply system 620 for supplying N 2 gas or the like is connected to the load lock chamber 603 at a lower portion thereof.
- the load lock chamber 603 thus has a construction according to which the interior thereof can be switched between a vacuum state and atmospheric pressure using the pipe 619 and the gas supply system 620 .
- the loader module 604 has provided therein a processed object holding part 625 and a transfer mechanism 626 similar to the processed object holding part 617 and the transfer mechanism 618 described above. Using the processed object holding part 625 and the transfer mechanism 626 , a processed object can be transferred between a processed object carrier (not shown) installed in the loader module 604 and the atmospheric treatment chamber 602 , and handover of the processed object can be carried out.
- a processed object carrier not shown
- a transfer port (not shown) is provided in a side wall of the loader module 604 .
- another transfer port (not shown) is similarly provided in a side wall of the atmospheric treatment chamber 602 disposed adjacent to the loader module 604 .
- the portions of the loader module 604 and the atmospheric treatment chamber 602 in which the transfer ports are provided are connected together by a connecting unit 627 .
- the vacuum treatment apparatus 600 there are two treatment chambers, i.e. a vacuum treatment chamber 601 and an atmospheric treatment chamber 602 , connected together in a line.
- the number of treatment chambers is not limited to two, but rather three or more treatment chambers may be connected together in a line.
- FIGS. 8A and 8B are diagram showing the processed object transfer sequence for the vacuum treatment apparatus 600 shown in FIG. 6.
- the vacuum treatment chamber 601 is made to be a CVD treatment chamber 601 in which CVD is carried out on the processed objects, and in the atmospheric treatment chamber 602 , cooling is carried out on the processed objects as atmospheric treatment.
- a connecting unit being white indicates that the gate valve is in an open state
- a connecting unit being black indicates that the gate valve is in a closed state.
- a processed object W 1 in the loader module 604 is transferred into the atmospheric treatment chamber 602 .
- the gate valve 612 is in a closed state, and hence the load lock chamber 603 and the CVD treatment chamber 601 are isolated from one another.
- the gate valve 615 is in an open state, and hence the atmospheric treatment chamber 602 and the load lock chamber 603 are communicated with one another.
- the processed object W 1 is transferred from the atmospheric treatment chamber 602 into the load lock chamber 603 , and then as shown in ( 3 ), the gate valve 615 is closed, and moreover the valve 624 in the pipe 619 is opened, and then the evacuating pump 623 is operated, thus evacuating the load lock chamber 603 .
- the gate valve 612 is opened, and then the processed object W 1 held by the processed object holding part 617 is transferred into the CVD treatment chamber 601 by the transfer mechanism 618 . Then, as shown in ( 5 ), after the processed object holding part 617 and the transfer mechanism 618 have returned into the load lock chamber 603 , the gate valve 612 is closed, and the processed object W 1 is subjected to CVD in the CVD treatment chamber 601 .
- the stage 609 cools the processed object W 1 , and once the processed object W 1 has been cooled to a predetermined temperature (approximately 70° C.), as shown in ( 10 ), the processed object W 1 is transferred out into the loader module 604 .
- a predetermined temperature approximately 70° C.
- the vacuum treatment apparatus 600 then repeats the transfer sequence described above until processing of the whole lot of processed objects is completed.
- the transfer mechanism 618 and the processed object holding part 617 may have the same structure as that of the transfer mechanism 52 and the processed object holding part 51 in the first embodiment, whereby effects as described earlier can be achieved.
- the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 can be comprised of a suitable combination of required modules selected from etching systems, film formation systems, coating/developing systems, measurement systems, heat treatment systems and so on, with there being no limitation to the example described above.
- the CVD treatment chamber 601 in which the processed object W 1 is subjected to CVD and the atmospheric treatment chamber 602 in which the processed object W 1 is subjected to cooling are communicably connected together, and the load lock chamber 603 is disposed between the CVD treatment chamber 601 and the atmospheric treatment chamber 602 in a position such as to form a line with the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 and is communicably connected to the vacuum treatment chamber 601 and the atmospheric treatment chamber 602 .
- the operation of transferring the processed object W 1 between the CVD treatment chamber 601 and the atmospheric treatment chamber 602 can be simplified, and hence the plurality of processes including CVD treatment and cooling treatment can be carried out efficiently, and in particular the cooling treatment can be carried out efficiently after the CVD treatment on the processed object W 1 .
- the cooling treatment in the atmospheric treatment chamber 602 is always carried out in an atmospheric pressure state, and hence there is no need to carry out switching between a vacuum state and an atmospheric pressure state in the atmospheric treatment chamber 602 , and thus the cooling treatment can be carried out in a short time period; moreover, the load lock chamber 603 in which switching between a vacuum state and an atmospheric pressure state is carried out need not have a cooling mechanism, and hence the volume of the load lock chamber 603 can be reduced, and thus the switching between the vacuum state and the atmospheric pressure state can be carried out in a short time period.
- the plurality of processes including the cooling treatment carried out on the processed object W 1 and the switching between a vacuum state and an atmospheric pressure state can be carried out more efficiently.
- the load lock chamber must contain not only a transfer mechanism but also a cooling mechanism, and hence the volume of the load lock chamber is increased, and hence it has been found that approximately 126 seconds is required for the switching between a vacuum state and an atmospheric pressure state and the cooling treatment; however, in the case that the switching between a vacuum state and an atmospheric pressure state and the cooling treatment are carried out in separate treatment chambers as with the vacuum treatment apparatus according to the second embodiment of the present invention described above, only the switching between a vacuum state and an atmospheric pressure state needs to be carried out in the load lock chamber, and only the cooling treatment needs to be carried out in the atmospheric treatment chamber, and hence the volume of the load lock chamber is reduced, and thus only approximately 20 seconds is required for the switching between a vacuum state and an atmospheric pressure state, and only approximately 15 seconds is required for the cooling treatment, i.e. only a total of approximately 35 seconds is required for the switching between a
- the processed object W 1 is not exposed to air convection due to the switching between a vacuum state and an atmospheric pressure state for a long time period, and hence the risk of attachment of particles caused to fly up by such convection can be reduced.
- the switching between a vacuum state and an atmospheric pressure state and the cooling treatment after the processed object W 1 has been subjected to the CVD treatment are divided between the load lock chamber 603 and the atmospheric treatment chamber 602 , and hence the time period taken for each of these processes can be shortened, and thus the plurality of processes including the switching between a vacuum state and an atmospheric pressure state and the cooling treatment can be carried out efficiently.
- the cooling treatment in the atmospheric treatment chamber 602 is carried out after a process of transferring the processed object W 1 out into the load lock chamber 603 , a process of switching between a vacuum state and an atmospheric pressure state in the load lock chamber 603 , and a process of transferring the processed object W 1 out into the atmospheric treatment chamber 602 ; cooling of the processed object W 1 thus proceeds even before the cooling treatment is carried out, for example in the case that the temperature of the processed object W 1 immediately after the CVD is approximately 650° C., it has been found that the temperature of the processed object W 1 after the process of transferring the processed object W 1 out into the atmospheric treatment chamber 602 is approximately 400° C. As a result, the cooling treatment carried out on the processed object W 1 in the atmospheric treatment chamber 602 can be carried out efficiently.
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Priority Applications (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US12/542,063 US8623765B2 (en) | 2003-06-24 | 2009-08-17 | Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus |
Applications Claiming Priority (4)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP2003179435 | 2003-06-24 | ||
| JP2003-179435 | 2003-06-24 | ||
| JP2003422821A JP4833512B2 (ja) | 2003-06-24 | 2003-12-19 | 被処理体処理装置、被処理体処理方法及び被処理体搬送方法 |
| JP2003-422821 | 2003-12-19 |
Related Child Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US12/542,063 Division US8623765B2 (en) | 2003-06-24 | 2009-08-17 | Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| US20040262254A1 true US20040262254A1 (en) | 2004-12-30 |
Family
ID=33543503
Family Applications (2)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US10/801,012 Abandoned US20040262254A1 (en) | 2003-06-24 | 2004-03-16 | Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus |
| US12/542,063 Expired - Fee Related US8623765B2 (en) | 2003-06-24 | 2009-08-17 | Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus |
Family Applications After (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US12/542,063 Expired - Fee Related US8623765B2 (en) | 2003-06-24 | 2009-08-17 | Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus |
Country Status (6)
| Country | Link |
|---|---|
| US (2) | US20040262254A1 (enExample) |
| JP (1) | JP4833512B2 (enExample) |
| KR (2) | KR100736959B1 (enExample) |
| CN (2) | CN100342518C (enExample) |
| DE (1) | DE102004010688B4 (enExample) |
| TW (1) | TWI361723B (enExample) |
Cited By (19)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20040185583A1 (en) * | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Method of operating a system for chemical oxide removal |
| US20080178914A1 (en) * | 2007-01-26 | 2008-07-31 | Tokyo Electron Limited | Substrate processing apparatus |
| US20080217293A1 (en) * | 2007-03-06 | 2008-09-11 | Tokyo Electron Limited | Processing system and method for performing high throughput non-plasma processing |
| US20080257494A1 (en) * | 2007-01-31 | 2008-10-23 | Tokyo Electron Limited | Substrate processing apparatus |
| US20080286491A1 (en) * | 2007-01-31 | 2008-11-20 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
| US20080305632A1 (en) * | 2006-02-13 | 2008-12-11 | Toyota Electron Limited | Substrate processing apparatus, substrate processing method and storage medium |
| US20080314882A1 (en) * | 2001-08-29 | 2008-12-25 | Bhullar Raghbir S | Method of making a biosensor |
| US20110035957A1 (en) * | 2006-12-26 | 2011-02-17 | Tokyo Electron Limited | Gas processing apparatus, gas processing method, and storage medium |
| US20150079801A1 (en) * | 2012-05-23 | 2015-03-19 | Tokyo Electron Limited | Oxide etching method |
| US20150219565A1 (en) * | 2014-02-04 | 2015-08-06 | Applied Materials, Inc. | Application of in-line thickness metrology and chamber matching in display manufacturing |
| US9236272B2 (en) | 2012-09-14 | 2016-01-12 | Tokyo Electron Limited | Etching apparatus and etching method |
| US9406524B2 (en) | 2013-10-17 | 2016-08-02 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
| US20160336196A1 (en) * | 2015-05-14 | 2016-11-17 | SK Hynix Inc. | Apparatus and method for removing particles present on a wafer using photoelectrons and an electric field |
| US10290553B2 (en) * | 2015-06-24 | 2019-05-14 | Tokyo Electron Limited | System and method of determining process completion of post heat treatment of a dry etch process |
| US10388553B2 (en) * | 2015-12-28 | 2019-08-20 | Asm Ip Holding B.V. | Substrate processing system |
| CN112397411A (zh) * | 2019-08-13 | 2021-02-23 | 台湾积体电路制造股份有限公司 | 包含抽出装置的制程系统及其监测方法 |
| US20220199440A1 (en) * | 2020-12-17 | 2022-06-23 | Samsung Electronics Co., Ltd. | Apparatus for processing a substrate |
| CN115088922A (zh) * | 2022-06-17 | 2022-09-23 | 瑞安市大虎鞋业有限公司 | 一种皮鞋生产加工用表面清灰装置及其使用方法 |
| WO2023023001A1 (en) * | 2021-08-16 | 2023-02-23 | Applied Materials, Inc. | Prevention of contamination of substrates during pressure changes in processing systems |
Families Citing this family (43)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP5001388B2 (ja) * | 2003-06-24 | 2012-08-15 | 東京エレクトロン株式会社 | 被処理体処理装置の圧力制御方法 |
| JP4860219B2 (ja) * | 2005-02-14 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
| JP4843285B2 (ja) * | 2005-02-14 | 2011-12-21 | 東京エレクトロン株式会社 | 電子デバイスの製造方法及びプログラム |
| JP4475136B2 (ja) | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | 処理システム、前処理装置及び記憶媒体 |
| JP2007088401A (ja) * | 2005-08-25 | 2007-04-05 | Tokyo Electron Ltd | 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体 |
| JP4791110B2 (ja) * | 2005-09-02 | 2011-10-12 | 東京エレクトロン株式会社 | 真空チャンバおよび真空処理装置 |
| JP5046506B2 (ja) * | 2005-10-19 | 2012-10-10 | 東京エレクトロン株式会社 | 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体 |
| JP4890025B2 (ja) * | 2005-12-28 | 2012-03-07 | 東京エレクトロン株式会社 | エッチング方法及び記録媒体 |
| JP4854317B2 (ja) | 2006-01-31 | 2012-01-18 | 東京エレクトロン株式会社 | 基板処理方法 |
| US7660640B2 (en) * | 2006-03-07 | 2010-02-09 | Siemens Aktiengesellschaft | Apparatus and method for predictive control of a power generation system |
| JP4688764B2 (ja) * | 2006-09-19 | 2011-05-25 | 東京エレクトロン株式会社 | 基板処理装置の載置台除電方法 |
| KR100851237B1 (ko) * | 2007-03-15 | 2008-08-20 | 피에스케이 주식회사 | 기판 처리 방법 |
| KR101411421B1 (ko) * | 2007-09-21 | 2014-07-01 | 호재혁 | 반입/반출챔버, 이송챔버, 이를 포함하는 진공처리시스템 |
| JP5374039B2 (ja) | 2007-12-27 | 2013-12-25 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置及び記憶媒体 |
| US20120088370A1 (en) * | 2010-10-06 | 2012-04-12 | Lam Research Corporation | Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods |
| US9512520B2 (en) * | 2011-04-25 | 2016-12-06 | Applied Materials, Inc. | Semiconductor substrate processing system |
| CN102506712A (zh) * | 2011-11-04 | 2012-06-20 | 中国科学院微电子研究所 | 一种激光检测装置 |
| TW201332871A (zh) * | 2011-12-07 | 2013-08-16 | Intevac Inc | 高載量太陽能晶圓裝載裝置 |
| WO2013119383A1 (en) * | 2012-02-08 | 2013-08-15 | Applied Materials, Inc. | Dynamic load lock with cellular structure for discrete substrates |
| US9418866B2 (en) | 2012-06-08 | 2016-08-16 | Tokyo Electron Limited | Gas treatment method |
| JP6097192B2 (ja) | 2013-04-19 | 2017-03-15 | 東京エレクトロン株式会社 | エッチング方法 |
| JP6139986B2 (ja) | 2013-05-31 | 2017-05-31 | 東京エレクトロン株式会社 | エッチング方法 |
| JP6239339B2 (ja) | 2013-10-17 | 2017-11-29 | 東京エレクトロン株式会社 | エッチング装置、エッチング方法、および基板載置機構 |
| KR20150110947A (ko) * | 2014-03-21 | 2015-10-05 | 피에스케이 주식회사 | 기판 처리 장치 및 방법 |
| JP2016012609A (ja) | 2014-06-27 | 2016-01-21 | 東京エレクトロン株式会社 | エッチング方法 |
| JP2016025195A (ja) | 2014-07-18 | 2016-02-08 | 東京エレクトロン株式会社 | エッチング方法 |
| JP6494226B2 (ja) | 2014-09-16 | 2019-04-03 | 東京エレクトロン株式会社 | エッチング方法 |
| US10903083B2 (en) * | 2016-01-13 | 2021-01-26 | Tokyo Electron Limited | Substrate processing method, substrate processing apparatus and substrate processing system |
| JP6635888B2 (ja) | 2016-07-14 | 2020-01-29 | 東京エレクトロン株式会社 | プラズマ処理システム |
| JP6640759B2 (ja) * | 2017-01-11 | 2020-02-05 | 株式会社アルバック | 真空処理装置 |
| JP7109165B2 (ja) | 2017-05-30 | 2022-07-29 | 東京エレクトロン株式会社 | エッチング方法 |
| JP6615153B2 (ja) | 2017-06-16 | 2019-12-04 | 東京エレクトロン株式会社 | 基板処理装置、基板載置機構、および基板処理方法 |
| JP6796559B2 (ja) | 2017-07-06 | 2020-12-09 | 東京エレクトロン株式会社 | エッチング方法および残渣除去方法 |
| KR102711640B1 (ko) * | 2018-03-20 | 2024-09-27 | 도쿄엘렉트론가부시키가이샤 | 통합된 단부-대-단부 게이트 콘택 프로세스를 위한 플랫폼 및 동작 방법 |
| KR102837677B1 (ko) * | 2018-05-15 | 2025-07-24 | 에바텍 아크티엔게젤샤프트 | 기판 진공 처리 장치 및 기판을 진공 처리하는 방법 |
| JP7204348B2 (ja) | 2018-06-08 | 2023-01-16 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
| WO2020066172A1 (ja) * | 2018-09-26 | 2020-04-02 | 東京エレクトロン株式会社 | エッチング方法、エッチング残渣の除去方法、および記憶媒体 |
| JP7224160B2 (ja) | 2018-12-04 | 2023-02-17 | 東京エレクトロン株式会社 | 発光モニタ方法、基板処理方法、および基板処理装置 |
| CN111690914B (zh) * | 2019-03-13 | 2023-05-02 | 咸阳彩虹光电科技有限公司 | 一种化学气相沉积设备及其控制方法和控制装置 |
| JP7296825B2 (ja) * | 2019-08-26 | 2023-06-23 | 東京エレクトロン株式会社 | 載置装置の制御方法、載置装置および検査装置 |
| JP7550534B2 (ja) | 2020-05-15 | 2024-09-13 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
| JP7561579B2 (ja) | 2020-11-11 | 2024-10-04 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
| US12261054B2 (en) | 2022-08-11 | 2025-03-25 | Tokyo Electron Limited | Substrate processing with material modification and removal |
Citations (14)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4184448A (en) * | 1978-03-21 | 1980-01-22 | Leybold-Heraeus Gmbh | Vacuum coating apparatus having a plurality of lock chambers |
| US5174881A (en) * | 1988-05-12 | 1992-12-29 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for forming a thin film on surface of semiconductor substrate |
| US5308791A (en) * | 1991-03-25 | 1994-05-03 | Tokyo Electron Limited | Method and apparatus for processing surface of semiconductor layer |
| US5558482A (en) * | 1992-07-29 | 1996-09-24 | Tokyo Electron Limited | Multi-chamber system |
| US5636960A (en) * | 1992-07-29 | 1997-06-10 | Tokyo Electron Limited | Apparatus for detecting and aligning a substrate |
| US5755888A (en) * | 1994-09-01 | 1998-05-26 | Matsushita Electric Industrial Co., Ltd. | Method and apparatus of forming thin films |
| US5934856A (en) * | 1994-05-23 | 1999-08-10 | Tokyo Electron Limited | Multi-chamber treatment system |
| US6213704B1 (en) * | 1998-05-20 | 2001-04-10 | Applied Komatsu Technology, Inc. | Method and apparatus for substrate transfer and processing |
| US6305895B1 (en) * | 1998-12-25 | 2001-10-23 | Tokyo Electron Limited | Transfer system for vacuum process equipment |
| US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
| US6440808B1 (en) * | 2000-09-28 | 2002-08-27 | International Business Machines Corporation | Damascene-gate process for the fabrication of MOSFET devices with minimum poly-gate depletion, silicided source and drain junctions, and low sheet resistance gate-poly |
| US6461437B1 (en) * | 2000-01-26 | 2002-10-08 | Mitsubishi Denki Kabushiki Kaisha | Apparatus used for fabricating liquid crystal device and method of fabricating the same |
| US6660598B2 (en) * | 2002-02-26 | 2003-12-09 | International Business Machines Corporation | Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region |
| US6858532B2 (en) * | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
Family Cites Families (25)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| DE2723284A1 (de) * | 1977-05-24 | 1978-12-07 | Leybold Heraeus Gmbh & Co Kg | Transporteinrichtung fuer die bewegung von gegenstaenden in abgeschlossenen raeumen |
| DE2831710B1 (de) * | 1978-07-19 | 1979-10-25 | Messerschmitt Boelkow Blohm | Einrichtung zur Erhoehung des Vakuums in einer von einem Band durchlaufenen Vakuumkammer |
| DE3935002A1 (de) * | 1989-10-20 | 1991-04-25 | Plasonic Oberflaechentechnik G | Verfahren und vorrichtung zur kontinuierlichen bearbeitung von substraten |
| JPH04162709A (ja) * | 1990-10-26 | 1992-06-08 | Fujitsu Ltd | 半導体製造装置および反応処理方法 |
| JPH0653304A (ja) * | 1992-07-29 | 1994-02-25 | Tokyo Electron Ltd | 減圧処理装置 |
| KR100256215B1 (ko) | 1993-02-26 | 2000-06-01 | 히가시 데쓰로 | 멀티챔버 시스템 |
| JP3422583B2 (ja) | 1994-03-23 | 2003-06-30 | 東京エレクトロン株式会社 | 処理装置 |
| DE4417026A1 (de) * | 1994-05-14 | 1995-12-14 | Norbert Stegmann | Verfahren und Vorrichtung zum Dekontaminieren von mit Schadstoffen belasteter Materialien |
| JPH0846013A (ja) * | 1994-05-23 | 1996-02-16 | Tokyo Electron Ltd | マルチチャンバ処理システム用搬送装置 |
| JPH10107124A (ja) * | 1996-08-05 | 1998-04-24 | Kokusai Electric Co Ltd | 基板処理装置 |
| KR100269097B1 (ko) | 1996-08-05 | 2000-12-01 | 엔도 마코토 | 기판처리장치 |
| US5753161A (en) * | 1996-08-14 | 1998-05-19 | Owens-Corning Fiberglas Technology, Inc. | Vacuum extrusion system and method |
| US5914493A (en) * | 1997-02-21 | 1999-06-22 | Nikon Corporation | Charged-particle-beam exposure apparatus and methods with substrate-temperature control |
| ATE262052T1 (de) * | 1998-03-27 | 2004-04-15 | Empa | Vakuumbandbeschichtungsanlage |
| JP2000012649A (ja) * | 1998-06-18 | 2000-01-14 | Matsushita Electric Ind Co Ltd | 半導体製造方法 |
| JP3629371B2 (ja) * | 1998-10-29 | 2005-03-16 | シャープ株式会社 | 成膜装置および成膜方法 |
| TW442891B (en) | 1998-11-17 | 2001-06-23 | Tokyo Electron Ltd | Vacuum processing system |
| JP2000150618A (ja) * | 1998-11-17 | 2000-05-30 | Tokyo Electron Ltd | 真空処理システム |
| JP4256551B2 (ja) * | 1998-12-25 | 2009-04-22 | 東京エレクトロン株式会社 | 真空処理システム |
| TW444321B (en) | 1999-01-12 | 2001-07-01 | Tokyo Electron Ltd | Vacuum processing apparatus |
| JP2000349134A (ja) | 1999-06-02 | 2000-12-15 | Tokyo Electron Ltd | 処理装置 |
| JP2001135704A (ja) * | 1999-11-09 | 2001-05-18 | Sharp Corp | 基板処理装置及び基板搬送用トレイの搬送制御方法 |
| JP2001150618A (ja) * | 1999-11-30 | 2001-06-05 | Daicel Chem Ind Ltd | 積層体 |
| JP2003119562A (ja) * | 2001-08-14 | 2003-04-23 | Samsung Corning Co Ltd | インラインスパッタリング装置及びスパッタリング方法 |
| JP4531557B2 (ja) * | 2002-05-21 | 2010-08-25 | エーエスエム アメリカ インコーポレイテッド | 半導体処理ツール内チャンバ間の相互汚染の減少 |
-
2003
- 2003-12-19 JP JP2003422821A patent/JP4833512B2/ja not_active Expired - Fee Related
-
2004
- 2004-03-04 DE DE102004010688A patent/DE102004010688B4/de not_active Expired - Fee Related
- 2004-03-16 US US10/801,012 patent/US20040262254A1/en not_active Abandoned
- 2004-04-12 CN CNB2004100310536A patent/CN100342518C/zh not_active Expired - Fee Related
- 2004-04-12 CN CNB2007101419116A patent/CN100521083C/zh not_active Expired - Fee Related
- 2004-05-11 KR KR1020040032933A patent/KR100736959B1/ko not_active Expired - Fee Related
- 2004-06-23 TW TW093118155A patent/TWI361723B/zh not_active IP Right Cessation
-
2006
- 2006-11-14 KR KR1020060112076A patent/KR100809126B1/ko not_active Expired - Fee Related
-
2009
- 2009-08-17 US US12/542,063 patent/US8623765B2/en not_active Expired - Fee Related
Patent Citations (14)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4184448A (en) * | 1978-03-21 | 1980-01-22 | Leybold-Heraeus Gmbh | Vacuum coating apparatus having a plurality of lock chambers |
| US5174881A (en) * | 1988-05-12 | 1992-12-29 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for forming a thin film on surface of semiconductor substrate |
| US5308791A (en) * | 1991-03-25 | 1994-05-03 | Tokyo Electron Limited | Method and apparatus for processing surface of semiconductor layer |
| US5558482A (en) * | 1992-07-29 | 1996-09-24 | Tokyo Electron Limited | Multi-chamber system |
| US5636960A (en) * | 1992-07-29 | 1997-06-10 | Tokyo Electron Limited | Apparatus for detecting and aligning a substrate |
| US5934856A (en) * | 1994-05-23 | 1999-08-10 | Tokyo Electron Limited | Multi-chamber treatment system |
| US5755888A (en) * | 1994-09-01 | 1998-05-26 | Matsushita Electric Industrial Co., Ltd. | Method and apparatus of forming thin films |
| US6213704B1 (en) * | 1998-05-20 | 2001-04-10 | Applied Komatsu Technology, Inc. | Method and apparatus for substrate transfer and processing |
| US6305895B1 (en) * | 1998-12-25 | 2001-10-23 | Tokyo Electron Limited | Transfer system for vacuum process equipment |
| US6461437B1 (en) * | 2000-01-26 | 2002-10-08 | Mitsubishi Denki Kabushiki Kaisha | Apparatus used for fabricating liquid crystal device and method of fabricating the same |
| US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
| US6440808B1 (en) * | 2000-09-28 | 2002-08-27 | International Business Machines Corporation | Damascene-gate process for the fabrication of MOSFET devices with minimum poly-gate depletion, silicided source and drain junctions, and low sheet resistance gate-poly |
| US6660598B2 (en) * | 2002-02-26 | 2003-12-09 | International Business Machines Corporation | Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region |
| US6858532B2 (en) * | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
Cited By (28)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20080314882A1 (en) * | 2001-08-29 | 2008-12-25 | Bhullar Raghbir S | Method of making a biosensor |
| US7877161B2 (en) | 2003-03-17 | 2011-01-25 | Tokyo Electron Limited | Method and system for performing a chemical oxide removal process |
| US20040185583A1 (en) * | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Method of operating a system for chemical oxide removal |
| US8175736B2 (en) | 2003-03-17 | 2012-05-08 | Tokyo Electron Limited | Method and system for performing a chemical oxide removal process |
| US20080305632A1 (en) * | 2006-02-13 | 2008-12-11 | Toyota Electron Limited | Substrate processing apparatus, substrate processing method and storage medium |
| US7736942B2 (en) | 2006-02-13 | 2010-06-15 | Tokyo Electron Limited | Substrate processing apparatus, substrate processing method and storage medium |
| US20110035957A1 (en) * | 2006-12-26 | 2011-02-17 | Tokyo Electron Limited | Gas processing apparatus, gas processing method, and storage medium |
| US20080178914A1 (en) * | 2007-01-26 | 2008-07-31 | Tokyo Electron Limited | Substrate processing apparatus |
| US8211232B2 (en) | 2007-01-26 | 2012-07-03 | Tokyo Electron Limited | Substrate processing apparatus |
| US20080257494A1 (en) * | 2007-01-31 | 2008-10-23 | Tokyo Electron Limited | Substrate processing apparatus |
| US8043659B2 (en) | 2007-01-31 | 2011-10-25 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
| US20080286491A1 (en) * | 2007-01-31 | 2008-11-20 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
| WO2008109504A3 (en) * | 2007-03-06 | 2008-12-18 | Tokyo Electron Ltd | Processing system and method for performing high throughput non-plasma processing |
| US20080217293A1 (en) * | 2007-03-06 | 2008-09-11 | Tokyo Electron Limited | Processing system and method for performing high throughput non-plasma processing |
| US20150079801A1 (en) * | 2012-05-23 | 2015-03-19 | Tokyo Electron Limited | Oxide etching method |
| US9384993B2 (en) * | 2012-05-23 | 2016-07-05 | Tokyo Electron Limited | Oxide etching method |
| US9236272B2 (en) | 2012-09-14 | 2016-01-12 | Tokyo Electron Limited | Etching apparatus and etching method |
| US9406524B2 (en) | 2013-10-17 | 2016-08-02 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
| US20150219565A1 (en) * | 2014-02-04 | 2015-08-06 | Applied Materials, Inc. | Application of in-line thickness metrology and chamber matching in display manufacturing |
| US20160336196A1 (en) * | 2015-05-14 | 2016-11-17 | SK Hynix Inc. | Apparatus and method for removing particles present on a wafer using photoelectrons and an electric field |
| US9796001B2 (en) * | 2015-05-14 | 2017-10-24 | SK Hynix Inc. | Apparatus and method for removing particles present on a wafer using photoelectrons and an electric field |
| US10290553B2 (en) * | 2015-06-24 | 2019-05-14 | Tokyo Electron Limited | System and method of determining process completion of post heat treatment of a dry etch process |
| US10388553B2 (en) * | 2015-12-28 | 2019-08-20 | Asm Ip Holding B.V. | Substrate processing system |
| CN112397411A (zh) * | 2019-08-13 | 2021-02-23 | 台湾积体电路制造股份有限公司 | 包含抽出装置的制程系统及其监测方法 |
| US20220199440A1 (en) * | 2020-12-17 | 2022-06-23 | Samsung Electronics Co., Ltd. | Apparatus for processing a substrate |
| US11935772B2 (en) * | 2020-12-17 | 2024-03-19 | Samsung Electronics Co., Ltd. | Apparatus for processing a substrate |
| WO2023023001A1 (en) * | 2021-08-16 | 2023-02-23 | Applied Materials, Inc. | Prevention of contamination of substrates during pressure changes in processing systems |
| CN115088922A (zh) * | 2022-06-17 | 2022-09-23 | 瑞安市大虎鞋业有限公司 | 一种皮鞋生产加工用表面清灰装置及其使用方法 |
Also Published As
| Publication number | Publication date |
|---|---|
| US8623765B2 (en) | 2014-01-07 |
| KR20050001299A (ko) | 2005-01-06 |
| TWI361723B (en) | 2012-04-11 |
| JP2005039185A (ja) | 2005-02-10 |
| JP4833512B2 (ja) | 2011-12-07 |
| DE102004010688B4 (de) | 2010-07-22 |
| CN100521083C (zh) | 2009-07-29 |
| TW200515952A (en) | 2005-05-16 |
| CN100342518C (zh) | 2007-10-10 |
| CN101101866A (zh) | 2008-01-09 |
| KR20060125661A (ko) | 2006-12-06 |
| KR100736959B1 (ko) | 2007-07-09 |
| CN1574270A (zh) | 2005-02-02 |
| DE102004010688A1 (de) | 2005-02-17 |
| US20090301525A1 (en) | 2009-12-10 |
| KR100809126B1 (ko) | 2008-03-03 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| US8623765B2 (en) | Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus | |
| TWI763759B (zh) | 受暴露以供處理之基板的表面之原子層蝕刻之執行方法及系統 | |
| US9963785B2 (en) | Substrate processing apparatus and semiconductor device manufacturing method | |
| US20250093781A1 (en) | Rework of metal-containing photoresist | |
| US9115429B2 (en) | Dry non-plasma treatment system and method of using | |
| US4985372A (en) | Method of forming conductive layer including removal of native oxide | |
| US9012331B2 (en) | Etching method and non-transitory storage medium | |
| US20020045355A1 (en) | Method of manufacturing a semiconductor device having a silicide layer | |
| US9406524B2 (en) | Substrate processing method and substrate processing apparatus | |
| JP5805461B2 (ja) | 基板処理装置および半導体装置の製造方法 | |
| US11557486B2 (en) | Etching method, damage layer removal method, and storage medium | |
| JP5881612B2 (ja) | 半導体装置の製造方法および製造装置 | |
| JP2001176855A (ja) | 基板処理方法および基板処理装置 | |
| JPH08274072A (ja) | 表面処理装置および表面処理方法 | |
| US20060128160A1 (en) | Photoresist strip using solvent vapor | |
| JP2017157660A (ja) | 半導体装置の製造方法および基板処理装置 | |
| WO2017022086A1 (ja) | 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体 | |
| JP5001388B2 (ja) | 被処理体処理装置の圧力制御方法 | |
| JP2003059861A (ja) | 成膜方法および成膜装置 | |
| US20050284572A1 (en) | Heating system for load-lock chamber | |
| US20080233754A1 (en) | Substrate peripheral film-removing apparatus and substrate peripheral film-removing method | |
| JPH1126370A (ja) | 露光前処理装置 | |
| WO2025057740A1 (ja) | エッチング方法およびエッチング装置 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| AS | Assignment |
Owner name: TOKYO ELECTRON LIMITED, JAPAN Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OZAWA, JUN;TAKAHASHI, GAKU;REEL/FRAME:015104/0516 Effective date: 20040301 |
|
| STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |