TWI772460B - 氣相塗佈之方法及氣相沈積製程 - Google Patents

氣相塗佈之方法及氣相沈積製程 Download PDF

Info

Publication number
TWI772460B
TWI772460B TW107124168A TW107124168A TWI772460B TW I772460 B TWI772460 B TW I772460B TW 107124168 A TW107124168 A TW 107124168A TW 107124168 A TW107124168 A TW 107124168A TW I772460 B TWI772460 B TW I772460B
Authority
TW
Taiwan
Prior art keywords
passivation
deposition
halogen
conductive surface
halide
Prior art date
Application number
TW107124168A
Other languages
English (en)
Other versions
TW201908506A (zh
Inventor
瓦倫 夏瑪
艾娃 E. 多益斯
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201908506A publication Critical patent/TW201908506A/zh
Application granted granted Critical
Publication of TWI772460B publication Critical patent/TWI772460B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/24Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials for applying particular liquids or other fluent materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

抑制氣相沈積之鈍化層可在反應器表面上使用以在沈積 在容納於其中之基板上的同時使沈積減到最少,或沈積在特定基板表面(諸如半導體基板上之金屬性表面)上使用以促進在相鄰介電性表面上之選擇性沈積。小於典型自組裝單層前驅物之鈍化劑可具有疏水性或非反應性末端,且比自組裝單層更快速地促進更緻密鈍化層,尤其於複雜三維結構上。

Description

氣相塗佈之方法及氣相沈積製程
本申請案大體上為關於在所選表面上,諸如在反應器表面或基板上之所選表面上抑制氣相沈積的鈍化。
原子層沈積(Atomic layer deposition;ALD)為在半導體工業中已知的用於在諸如矽晶圓的基板上形成材料薄膜之製程。ALD為以下類型之氣相沈積,其中膜經由在循環中執行之自飽和表面反應來積聚。在ALD製程中,氣態前驅物被交替且重複地供應至基板,以在基板上形成材料薄膜。一種反應物在自限性過程中吸附在晶圓上。後續反應物脈衝與所吸附之材料反應以形成合乎期望之材料的分子層。後續脈衝可減少或吸收來自所吸附之層的配位體,可置換此類配位體或以其他方式添加原子(例如氧化、氮化等)。在典型ALD反應中,每循環形成不超過一個分子單層。循環可更複雜,且依序涉及三種或超過三種反應物。ALD之一些公認優點為低溫加工及接近完美之保形性,使用於半導體加工之ALD收到較大關注。
除ALD以外,存在其他用於在基板上形成材料薄膜之製程。一種此類製程為化學氣相沈積(chemical vapor deposition;CVD),其中使基板暴露於一或多種揮發性前驅物,該等前驅物在基板上反應及/或分解以形成薄膜。不同於純ALD,在CVD中,相互反應性反應物時常同時暴露於基板上。混合ALD/CVD製程可允許前驅物的某些重疊,以獲得ALD之一些保形性優點及CVD之一些速度優點。ALD及CVD兩者皆可能對暴露表面敏感;視前驅物及沈積條件而定,氣相沈積製程可能在不同表面上充分或不充分地成核。
在加工期間,除可能需要沈積之彼等表面以外,沈積始終在暴露表面上發生。舉例而言,隨著依序加工多個基板,膜積聚可能在反應器之暴露表面上發生。膜積聚可能自反應器之表面分層或片狀剝落,且污染基板表面。在反應器表面上之大量鬆散黏附之膜積聚亦增加暴露於反應物脈衝之總表面積,藉此增加使基板表面飽和所需之脈衝及吹掃時間。另外,膜可能沈積於半導體裝置結構的不合期望之區域,諸如介電性表面上,從而需要額外圖案化及蝕刻步驟。
目前,可獲得防止不合需要之膜沈積於反應器表面及裝置結構上或減小其沈積量的選擇性製程。一些此類製程利用在反應器表面上方產生保護性自組裝單層(self-assembled monolayer;SAM)的處理製程,諸如美國專利第7,914,847號及第8,293,658號中所描述之彼等製程,兩者揭示內容皆出於所有目的以全文引用 之方式併入本文中。其他製程利用選擇性沈積於一些類型之基板表面上而在其他基板表面上沈積最少或無沈積的處理製程,諸如上文併入之美國專利第8,293,658號、及美國專利公開案第2016-0247695 A1號、第2015-0299848 A1號、第2015-0217330 A1號及美國專利第9,112,003號中所描述的選擇性沈積製程,其等揭示內容出於所有目的以全文引用之方式併入本文中。
自氣相產生SAM,相對於自液相產生者,其有許多原因為有利的,包括使用與在ALD及CVD中所用相同或類似類型之設備的可能性。有機矽烷類(Organosilane based)之SAM可經由氣相製程產生,但此類SAM通常採用多次及長期暴露,以便產生合乎期望之有機矽烷SAM。
在一個態樣中,提供一種用於氣相塗佈之方法。該方法包括使導電性表面針對氣相沈積而鈍化,其中鈍化包括使該導電性表面暴露於氣相鈍化劑以形成經鈍化之導電性表面。該方法進一步包括藉由使經鈍化之導電性表面及介電性表面兩者暴露於氣相沈積反應物,將一個層相對於經鈍化之導電性表面選擇性地沈積於介電性表面上。
在一些具體例中,鈍化劑包括小於自組裝單層(self-assembled monolayer;SAM)前驅物的具有多於五(5)個碳原子之碳鏈的分子。在一些具體例中,鈍化劑包括鹵素封端。在一些 具體例中,經鈍化之導電性表面包括疏水性封端或對氣相沈積反應物之選擇性沈積呈惰性的封端。在一些具體例中,鈍化劑包括具有一或多個烷基鏈之化合物,其中該等烷基鏈各自具有少於5個碳原子。在一些具體例中,鈍化劑包括分子量小於或等於330g/mol之化合物。
在一些具體例中,鈍化劑包括式CnH2n+1X、CnH2nX2、R1R2CX或R1R2CX之鹵代烷。在一些具體例中,R1及R2各自單獨地為氫或烷基。在一些具體例中,X為鹵素。在一些具體例中,n小於5。
在一些具體例中,鈍化劑包括含鹵素之矽烷、含鹵素之鍺烷、或式SiH2X2、SiH3X、GeH2X2或GeH3X之化合物。在一些具體例中,X為鹵素。
在一些具體例中,鈍化劑包括含鹵素之矽烷、含鹵素之鍺烷、含鹵素之錫烷、或式GeRaXb、SiRaXb、GeRaHcXb、SiRaHcXb、SnRaXb、SnRaHcXb、Sn2RaHcXb、SiHcXRa之化合物。在一些具體例中,各R單獨地為氫或具有少於5個碳原子之烷基。在一些具體例中,X為鹵素。在一些具體例中,a、b及c為化學計量之整數值,其各自單獨地選自0、1、2、3、4、5、6、7或8。
在一些具體例中,鈍化劑包括式RZ、CF2HZ、CFH2Z、(CH3)3SiZ、CF3Z、(CH3)3CZ、SnHcRaZ或CHcRaZ之化合物。在一些具體例中,各R單獨地為氫或各自具有少於5個碳原子之烷基。在一些具體例中,Z為烷基、乙酸酯、腈、異腈、異氰酸酯、 胺、硫醇、磺醯氯、醯氯或烷氧基。在一些具體例中,a及c為化學計量之整數值,其各自單獨地選自0、1、2、3或4。
在一些具體例中,鈍化劑包括式R1R2SiX或R1R2R3SiX之化合物。在一些具體例中,R1、R2及R3各自單獨地為氫或各自具有少於5個碳原子之烷基。在一些具體例中,X為鹵素。
在一些具體例中,鈍化劑在引入以使導電性表面暴露之前未經加熱。
在一些具體例中,導電性表面包括金屬性表面。在一些具體例中,導電性表面包括氮化鈦(TiN)、鎢(W)、鈷(Co)、銅(Cu)、銥(Ir)或氮化鉭(TaN)中之一或多者。在一些具體例中,導電性表面包括TiN、W、Co、Cu或TaN中之一或多者。
在一些具體例中,介電性表面包括氧化物或氮化物表面。在一些具體例中,介電性表面包括氧化鉿(hafnium oxide)、氧化鋯(zirconium oxide)、氧化鈦(titanium oxide)、氮化矽(silicon nitride)或原生氧化物(native oxide)。
在一些具體例中,選擇性地沈積包括原子層沈積。在一些具體例中,選擇性地沈積包括沈積介電層。在一些具體例中,選擇性地沈積進一步包括供應水、氧(O2)、氨(NH3)或臭氧(O3)。在一些具體例中,選擇性地沈積包括供應包括環戊二烯基、烷基、醯胺基或烷氧基配位體之金屬前驅物。
在一些具體例中,導電性表面之鈍化相對於介電性表面選擇性地形成經鈍化之導電性表面。在一些具體例中,在不阻擋 介電性表面上之鈍化且在導電性表面上無催化劑的情況下,鈍化直接形成在導電性表面上。在一些具體例中,導電性表面之鈍化在約1天或少於1天內執行。
在另一態樣中,提供一種氣相沈積製程。該製程包括使導電性表面針對氣相沈積而鈍化,其中鈍化包括使該導電性表面暴露於包括氣相鹵化物之鈍化劑以形成經鈍化之導電性表面。進一步包括藉由使經鈍化之導電性表面及介電性表面兩者皆暴露於氣相沈積反應物,將一個層相對於經鈍化之導電性表面選擇性地沈積於介電性表面上。
在一些具體例中,導電性表面為金屬表面或金屬氮化物表面。在一些具體例中,導電性表面包括氧。在一些具體例中,導電性表面包括TiN,且介電性表面包括氧化鋯(zirconium oxide;ZrO2)。
在一些具體例中,鈍化劑為有機鹵化物。在一些具體例中,鈍化劑包括二氯甲烷。
在一些具體例中,鈍化劑包括式CnH2n+1X、CnH2nX2、R1R2CX或R1R2CX之鹵代烷。在一些具體例中,R1及R2為氫或烷基。在一些具體例中,X為鹵素。在一些具體例中,n小於5。
在一些具體例中,鈍化劑包括含鹵素之矽烷、含鹵素之鍺烷、或式SiH2X2、SiH3X、GeH2X2或GeH3X之化合物。在一些具體例中,X為鹵素。
在一些具體例中,鈍化劑包括含鹵素之矽烷、含鹵素之 鍺烷、含鹵素之錫烷、或式GeRaXb、SiRaXb、GeRaHcXb、SiRaHcXb、SnRaXb、SnRaHcXb、Sn2RaHcXb、SiHcXRa之化合物。在一些具體例中,各R單獨地為氫或具有少於5個碳原子之烷基。在一些具體例中,X為鹵素。在一些具體例中,a、b及c為化學計量之整數值,其各自單獨地選自0、1、2、3、4、5、6、7或8。
在一些具體例中,鈍化劑包括含鹵素之矽烷、含鹵素之鍺烷、含鹵素之錫烷、或式RZ、CF2HZ、CFH2Z、(CH3)3SiZ、CF3Z、(CH3)3CZ、SnHcRaZ或CHcRaZ之化合物。在一些具體例中,各R單獨地為氫或各自具有少於5個碳原子之烷基。在一些具體例中,Z為烷基、乙酸酯、腈、異腈、異氰酸酯、胺、硫醇、磺醯氯、醯氯或烷氧基。在一些具體例中,a及c為化學計量之整數值,其各自單獨地選自0、1、2、3或4。
在一些具體例中,鈍化劑包括式R1R2SiX或R1R2R3SiX之化合物。在一些具體例中,R1、R2及R3可為氫或各自具有少於5個碳原子之烷基。在一些具體例中,X為鹵素。
在一些具體例中,選擇性地沈積包括進行原子層沈積製程。
在一些具體例中,導電性表面之鈍化在約1天或少於1天內執行。
106:表面
122:SAM/自組裝單層
134:SAM分子
138:ALD前驅物
144:反應性位點
400:製程
410:鈍化步驟
420:選擇性沈積步驟
430:重複步驟
500:製程
510:鈍化步驟
520:ALD工序
525:供應步驟
530:移除步驟
535:供應步驟
540:移除步驟
550:判斷框
555:循環或重複步驟
560:判斷框
600:製程
610:裝載步驟
620:鈍化步驟
630:選擇性沈積步驟
700:製程
710:鈍化步驟
720:裝載步驟
730:選擇性沈積步驟
圖1A及1B繪示暴露於ALD前驅物的上覆有緻密填充之理想自組裝單層(self-assembled monolayer;SAM)的表面。
圖2A及2B繪示暴露於ALD前驅物的上覆自組裝單層具有一些未被佔據之反應性位點的表面。
圖3A為根據第一具體例的具有組成不同之第一表面及第二表面的基板之一部分的示意性橫截面。
圖3B為圖3A之基板在第二表面之選擇性鈍化之後的示意性橫截面。
圖3C為圖3B之基板在於第一表面上選擇性沈積之後的示意性橫截面。
圖3D為圖3C之基板在自第二表面移除鈍化材料之後的示意性橫截面。
圖4之流程圖繪示根據各種具體例的用於鈍化及選擇性沈積之製程。
圖5之流程圖繪示根據一些具體例之鈍化及選擇性ALD。
圖6之流程圖繪示一種用於使基板之一些表面鈍化且在該基板之其他表面上方選擇性沈積的製程。
圖7之流程圖繪示一種用於在反應器製程腔室內使反應器表面針對後續於基板上沈積而鈍化的製程。
圖8之圖顯示在暴露於鈍化劑之後於不同類型之表面上用介電質進行各種數目之原子層沈積循環的實驗結果。
圖9之圖顯示在暴露於鈍化劑之後於不同類型之表面上用介 電質進行各種數目之原子層沈積循環的實驗結果。
本文描述用於使表面針對氣相沈積製程而鈍化之製程,該等表面諸如經部分加工之半導體基板表面或半導體處理設備表面。本文中所教示之製程尤其適用於使導電性(例如金屬性)表面鈍化以允許後續在介電性表面上選擇性沈積。
如在先前技術部分中所指出,存在使特定表面(例如反應器表面及經部分製造之積體電路結構的表面)針對氣相沈積而失活的製程,諸如ALD,以允許在未經處理之表面上選擇性沈積。一種此類製程涉及在不需要膜沈積之暴露表面上方形成自組裝單層(self-assembled monolayer;SAM)。SAM為自氣相或液相前驅物自發地吸附(亦稱為以化學方式吸附)在表面上的相對有序之分子組裝體。典型SAM分子包括末端官能基、烴鏈及頭基。藉由在所選表面上方形成SAM,該SAM可防止暴露表面上的膜生長,此乃使用某些類型之氣相前驅物,藉由阻擋在該等暴露表面上方之反應性位點,否則其將與彼等沈積前驅物反應。此類選擇性失活可尤其有效針對吸附驅動之製程,如ALD,但亦可阻礙某些類型之CVD。
圖1A及1B繪示經歷ALD前驅物138的上覆有緻密填充之理想SAM 122的表面106。如上文所指出,表面106可為於其上方之後續沈積待抑制的任何表面。所形成之SAM 122通常由相 對長鏈單體形成,通常包括長度長於6個碳之碳鏈,諸如十八烷基三氯矽烷(octadecyltrichlorosilane;ODTS),以使得可藉由自組裝形成高度有序之單層。形成SAM之單體可以液體或蒸氣形式遞送。如圖1A中所顯示,SAM分子134在理論上緻密填充,以使得其佔據表面106上方之所有反應性位點。在ALD製程期間,可將諸如四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、四氯化鈦(TiCl4)或三甲基鋁(trimethyl aluminum;TMA)之ALD前驅物引入至反應器中。此等前驅物將傾向於與反應器中之暴露表面反應,包括反應器壁及暴露之基板表面。然而,對於上覆有由長鏈單體形成之緻密填充之SAM 122的彼等表面106,當ALD前驅物如圖1A中所顯示接近時,如圖1B中所顯示,SAM 122可藉由阻擋下方表面106之反應性位點來防止該下方表面106與ALD前驅物反應。藉由使用SAM 122來阻擋反應性位點,有可能將膜沈積於某些暴露表面上,同時防止膜沈積於由失活性SAM覆蓋之其他表面上或使該膜沈積減到最少,以使得沈積製程具有選擇性。在一個實施例中,可阻擋基板上之絕緣體,同時在同一基板之相鄰導體表面上進行沈積。在另一個實施例中,可阻擋反應器壁,同時在該反應器中的未經處理之基板上進行沈積。
較大有機分子可在反應器表面上形成極穩定且不容易自該表面解吸附的自組裝單層(SAM)。另外,較大有機尾部提供所期望之位阻作用,且不允許ALD反應物到達反應器表面。
不利的是,阻擋性SAM之分子很少佔據表面106上之每 個反應性位點,以使得該SAM下方之表面106時常具有暴露之反應性位點,例如-OH封端位點(顯示於圖2A中),其可能不合期望地與沈積前驅物反應。雖然不受理論的束縛,可能的是位阻可具有此副作用:吸附在反應性位點上之SAM分子可本身彼此相互作用,而非形成完美定向的緻密填充之分子,使得所吸附之SAM分子不能恰當地定向且因此其等本身妨礙其他SAM分子與可用反應性位點吸附。使未被佔據之反應性位點減到最少,通常意謂暴露於SAM單體,通常在液態前驅物中,持續數小時或甚至數天。即使在暴露於氣相或液相SAM化學物質中數天之後,下方表面之反應性位點仍保持未被佔據,且可在後續沈積期間充當用於生長之成核位點,尤其用於不受不完美SAM中之位阻阻擋的較小沈積前驅物。
圖2A及2B繪示自組裝單層122經歷ALD前驅物138之表面106。如圖2A中所顯示,即使在將SAM 122沈積於表面106上方之後,該表面106仍具有暴露之原生氧化物羥基,該等羥基充當ALD前驅物138在沈積期間可接近之反應性位點144。此外,在複雜三維結構的情況下獲得完全表面覆蓋可甚至更具挑戰性,該等複雜三維結構越來越多地用於半導體加工,諸如MEMS裝置、finFET結構、高縱橫比電容式結構等。如圖2B中所顯示,當在沈積製程期間引入諸如HfCl4之ALD前驅物138時,該等ALD前驅物138可與暴露之反應性位點144反應,引起不合期望之生長或成核。
基板表面
根據本案揭示內容之一些態樣,可使用選擇性沈積來將相關膜相對於第一表面優先沈積於第二表面上。兩個表面可具有不同材料性質以容許於其上選擇性形成鈍化材料,諸如使鈍化層相對於第二表面選擇性形成於第一表面上,其繼而容許後續將相關層相對於經鈍化之第一層選擇性沈積於第二表面上。
舉例而言,在本文中所描述之具體例中,其中一表面可為基板之導電性(例如,金屬或金屬性)表面,同時另一表面可為基板之非導電性(例如,無機介電性)表面。兩個表面之間的材料差異為使得氣相沈積方法可使鈍化層相對於第二表面選擇性地形成於第一表面上。在一些具體例中,使用循環氣相沈積,例如,使用循環CVD或原子層沈積(atomic layer deposition;ALD)製程。在一些具體例中,可達成用於形成鈍化層之選擇性,而不用表面上之鈍化/阻擋劑以接收較少鈍化層;及/或不用表面上之催化劑以接收較多鈍化層。舉例而言,在其中第一表面為金屬性且第二表面為介電性之具體例中,鈍化層可相對於介電性表面直接選擇性地形成於金屬性表面上。在完成鈍化層之選擇性形成之後,相關材料之進一步選擇性沈積(諸如介電層在介電性材料上之進一步選擇性沈積)可相對於經鈍化之第一表面於未經鈍化之第二表面上進行。
對於一個表面包含金屬而另一表面不包含的具體例,除 非另外指示,否則若在本文中將一表面稱為金屬表面,則其可為金屬表面或金屬性表面。在一些具體例中,金屬或金屬性表面可包含金屬、金屬氧化物及/或其混合物。在一些具體例中,金屬或金屬性表面可包含表面氧化。在一些具體例中,金屬或金屬性表面之金屬或金屬性材料在存在或不存在表面氧化的情況下具有導電性。在一些具體例中,金屬或金屬性表面包含一或多種過渡金屬。在一些具體例中,金屬或金屬性表面包含TiN、W、Co、Cu、Ir或TaN中之一或多者。在一些具體例中,金屬或金屬性表面包含鋁(Al)、鎳(Ni)、鈮(Nb)、鐵(Fe)中之一或多者。在一些具體例中,金屬或金屬性表面包含一或多種貴金屬,諸如釕(Ru)。在一些具體例中,金屬或金屬性表面包含導電性金屬氧化物、氮化物、碳化物、硼化物或其組合。舉例而言,金屬或金屬性表面可包含RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、WNCx、TaN或TiN中之一或多者。在下文所指出之實驗中,金屬性表面包含氮化鈦。
在一些具體例中,金屬或金屬性表面包含鈷(Co)、銅(Cu)或鎢(W)。在一些具體例中,金屬或金屬性表面可為任何可接受本文中所描述的用於鈍化層之選擇性沈積製程中之鈍化劑或與其配位的表面。
在一些具體例中,將鈍化材料相對於第二介電性表面選擇性地沈積於第一表面(其為金屬性材料之經氧化表面)上。
在一些具體例中,第一表面為基板之金屬或金屬性表面,且另一表面為基板之介電性表面。術語介電性在本文說明中 用於簡單地與另一表面(即金屬或金屬性表面)相區分。熟悉技藝人士應理解,並非所有非導電性表面皆為介電性表面,且反之,並非所有金屬性表面皆具導電性。舉例而言,金屬或金屬性表面可包含可產生高電阻率之一定氧化。不論導電性程度如何,本文中所教示之選擇性沈積製程可在介電性表面上沈積而在經鈍化之金屬性表面上沈積最少。
在一些具體例中,可在選擇性沈積製程之前或在其開始時對基板進行預處理或清潔。在一些具體例中,可在選擇性沈積製程之前或在其開始時使基板經歷電漿清潔製程。在一些具體例中,電漿清潔製程可不包括離子轟擊,或可包括相對少量之離子轟擊。舉例而言,在一些具體例中,可在選擇性鈍化之前或在其開始時使基板表面暴露於電漿、自由基、受激發物種及/或原子物種。在一些具體例中,可在選擇性鈍化之前或在其開始時使基板表面暴露於氫電漿、自由基或原子物種。在一些具體例中,可在選擇性鈍化之前或在其開始時用暴露於氫氣、氨氣及其混合物中來對基板表面進行熱處理。在一些具體例中,可在與選擇性沈積製程相同之反應腔室中進行預處理或清潔製程,然而,在一些具體例中,可在另外單獨反應腔室中進行預處理或清潔製程,且亦可在與後續選擇性沈積不同之另外單獨腔室中進行鈍化製程。
未經鈍化且其上可在使導電性表面鈍化之後進行選擇性沈積的介電性表面之實施例包括無機介電性材料,諸如氧化鉿、氧化鋯、氧化鈦、矽之原生氧化物、氮化矽、氮氧化矽等。
選擇性
熟悉技藝人士應瞭解,鈍化層及後續相關層之選擇性形成可具有完全選擇性或部分選擇性。部分選擇性製程可藉由自表面B上方移除所有沈積材料而不自表面A上方移除所有沈積材料之沈積後蝕刻來產生完全選擇性層。由於簡單回蝕製程可無需昂貴之遮蔽製程而留下完全選擇性結構,因此選擇性沈積無需具完全選擇性以便獲得合乎期望之效益。
相對於表面B沈積於表面A上之選擇性可以藉由[(於表面A上之沈積)-(於表面B上之沈積)]/(於表面A上之沈積)所計算的百分比形式給出。沈積可以多種方式中之任一者加以測量。舉例而言,沈積可以沈積材料之厚度的測量值形式給出,或可以沈積材料之量的測量值形式給出。在本文中所描述之具體例中,鈍化層之選擇性形成可相對於第二表面(B)於第一表面(A)上進行。隨後,將相關層相對於第一表面上方之鈍化層(其出於應用上式之目的成為B)選擇性地沈積於第二表面(其出於應用上式之目的成為A)上。
在一些具體例中,鈍化層(相對於第二表面)選擇性形成於第一表面上之選擇性及/或相關層(相對於第一表面上之鈍化層)於第二表面上之選擇性為大於約10%,大於約50%,大於約75%,大於約85%,大於約90%,大於約93%,大於約95%,大於約98%,大於約99%或甚至大於約99.5%。視表面及前驅物化學物質而定, 相對於經鈍化之金屬性表面沈積於介電性表面上方之選擇性可在沈積之持續時間或厚度內變化。若為該情況,則可藉由重複暴露於鈍化劑來提昇選擇性,例如在多個ALD循環與一個鈍化製程之間循環。
在一些具體例中,鈍化層僅於第一表面上形成,而不於第二表面上形成。在一些具體例中,相對於基板之表面B沈積於基板之表面A上具有至少約80%選擇性,其對於一些特定應用可為足夠選擇性。在一些具體例中,相對於基板之表面B沈積於基板之表面A上具有至少約50%選擇性,其對於一些特定應用可為足夠選擇性。在一些具體例中,相對於基板之表面B沈積於基板之表面A上具有至少約10%選擇性,其對於一些特定應用可為足夠選擇性。熟悉技藝人士應瞭解,部分選擇性製程可藉由自表面B上方移除所有沈積材料而不自表面A上方移除所有沈積材料之沈積後蝕刻來產生完全選擇性結構層。
在一些具體例中,鈍化層選擇性地於基板之第一表面上形成,且可具有小於約3nm、小於約2nm或小於約1nm之厚度,同時沈積於基板之第一表面上相對於基板之第二表面上的材料比率可大於或等於約20:1、大於或等於約15:1、大於或等於約10:1、大於或等於約5:1、大於或等於約3:1、或大於或等於約2:1。
在一些具體例中,本文中所描述之選擇性沈積製程的選擇性可視構成基板之第一表面及/或第二表面的材料而定。舉例而言,在一些具體例中,在第一表面包含經鈍化之Cu表面且第二表 面包含自然或化學二氧化矽表面的情況下,相關層之選擇性可大於約8:1或大於約15:1。在一些具體例中,在第一表面包含金屬且第二表面包含自然或化學二氧化矽表面的情況下,選擇性可大於約5:1或大於約10:1。
導電性表面之鈍化及介電質上之選擇性沈積
圖3A-3D示意性地繪示用於相對於第二表面使第一表面選擇性鈍化,隨後再相對於經鈍化之第一表面選擇性沈積於第二表面上的第一具體例。在所繪示之具體例中,第一表面包含金屬性材料,第二表面包含介電性材料;且沈積於第二表面上之相關材料包含介電性材料。因此,具體例包含選擇性介電質於介電質上之沈積。
圖3A繪示具有材料上不同之暴露表面的基板。舉例而言,第一表面可包含金屬,諸如氮化鈦(TiN)、鎢(W)、鈷(Co)、銅(Cu)或氮化鉭(TaN)。第二表面可包含介電質,諸如低k層(通常為氧化矽類(silicon oxide-based)之層)、在其上方形成有原生氧化物(亦為一種形式之氧化矽)的矽表面、氧化鉿(HfOx)、氧化鋯(ZrOx)、氧化鈦(TiOx)、氮化矽(SiNx)等。
圖3B顯示在將鈍化層選擇性沈積於第一表面上方之後的圖3A之基板。舉例而言,鈍化層可藉由使圖3A的經圖案化之表面暴露於二氯甲烷(DCM)來形成。下文提供關於使用具有疏水性或非反應性末端之小分子來選擇性形成鈍化層的進一步資訊及 實施例。
圖3C顯示在將相關層X相對於第一表面上之鈍化層選擇性沈積於第二表面(在此實施例中為介電性表面)上之後的圖3B之基板。相關層X可為介電性材料,特定言之金屬氮化物或金屬氧化物,諸如氧化鋯、氧化鉿或氧化鈦。用於選擇性介電質沈積之前驅物包括(但不限於)包括環戊二烯基、烷基、醯胺基及/或烷氧基配位體之金屬前驅物;及氧或氮前驅物,諸如H2O、O2、NH3或O3。用於藉由氣相沈積技術,採用疏水性前驅物輔助相對於一些類型之鈍化層的選擇性來選擇性地沈積此類金屬氧化物層之方法揭示於美國專利公開案第2017/0323776號中,其全部揭示內容出於所有目的以引用之方式併入本文中。下文提供關於金屬氧化物及其他相關層之選擇性沈積的進一步資訊及實施例。
沈積於第一表面上方之鈍化層上的任何X材料皆可藉由回蝕製程來移除。由於相關層選擇性地沈積於第二表面上,因此留在鈍化表面上之任何X材料皆將比形成於金屬性表面上之鈍化層薄。因此,可控制回蝕製程以移除鈍化層上方之所有X材料,而不自介電性表面上方移除所有相關層。以此方式重複地選擇性沈積及回蝕可導致於介電質上之X材料的厚度隨各循環的沈積及蝕刻而增加。可替代地,形成於鈍化層上之任何X材料皆可在後續移除鈍化層期間,如下文圖3D中所繪示,在剝離製程中移除。如技藝中所已知,剝離製程藉由利用移除下方材料底切來移除上覆材料。在短暫選擇性沈積製程中形成於鈍化層上之任何X材料 皆傾向於為非連續的,從而容許蝕刻劑到達待移除之下方材料。剝離蝕刻無需完全地移除鈍化層以自鈍化層表面移除所有不合期望之X材料,以使得可使用直接蝕刻或剝離方法來於循環選擇性沈積及移除中自鈍化層表面移除X材料。
圖3D顯示在自第一表面移除鈍化層之後的圖3C之基板。在一些具體例中,蝕刻製程可包含使基板暴露於電漿中。在一些具體例中,可藉由包含氧原子、氧自由基、氧電漿或其組合之電漿來移除鈍化層。在一些具體例中,電漿可包含氫原子、氫自由基、氫電漿或其組合。在一些具體例中,電漿亦可包含鈍氣物種,例如氬(Ar)或氦(He)物種。在一些具體例中,電漿可基本上由鈍氣物種組成。在一些實例中,電漿可包含其他物種,例如氮原子、氮自由基、氮電漿或其組合。在一些具體例中,蝕刻製程可包含使基板暴露於包含氧之蝕刻劑,例如O3。在一些具體例中,基板可在介於約30℃與約500℃之間,較佳介於約100℃與約400℃之間的溫度下暴露於蝕刻劑中。在一些具體例中,蝕刻劑可以一個連續脈衝供應或可以多個較短脈衝供應。如上文所指出,鈍化層移除亦可在循環選擇性沈積及移除中以完全移除鈍化層或以部分移除鈍化層形式自鈍化層上方剝離任何剩餘X材料。
可在前述製程之前、之後或之間進行諸如熱或化學處理的額外處理。舉例而言,處理可改變表面或移除於製程之各個階段暴露的金屬、氧化矽、鈍化及金屬氧化物表面之部分。在一些具體例中,可在選擇性沈積製程之前或在其開始時對基板進行預 處理或清潔。在一些具體例中,可在選擇性沈積製程之前或在其開始時使基板經歷電漿清潔製程。在一些具體例中,電漿清潔製程可不包括離子轟擊,或可包括相對少量之離子轟擊。舉例而言,在一些具體例中,可在選擇性沈積製程之前或在其開始時使基板表面暴露於電漿、自由基、受激發物種及/或原子物種中。在一些具體例中,可在選擇性沈積製程之前或在其開始時使基板表面暴露於氫電漿、自由基或原子物種中。在一些具體例中,可在與選擇性沈積製程相同之反應腔室中進行預處理或清潔製程,然而,在一些具體例中,可在另外單獨反應腔室中進行預處理或清潔製程。
在一些具體例中,表面之鈍化在約1天或少於1天、約12小時或少於12小時、約6小時或少於6小時、約2小時或少於2小時、或約1小時或少於1小時、或介於此等值中之任一者之間的任何範圍內執行。
鈍化前驅物
如上文所指出,SAM可能花費較長時間(數天)以完全形成緻密之有效障壁來抑制沈積。大分子可能干擾對待針對後續氣相沈積而失活之表面上之所有反應性位點的接近。
因此,本案揭示內容採用比典型SAM前驅物小之鈍化劑。具有疏水性或非反應性末端之較小試劑包括例如CH2X2、CH3X,其中X為鹵素,及下文所列之其他實施例。較小分子可使 相關表面更快速鈍化。
在一些具體例中,鈍化前驅物包含式CnH2n+1X、CnH2nX2、R1R2CX或R1R2CX之鹵代烷,其中:R1及R2可為氫或烷基;及X可為鹵素,諸如氯(Cl)、氟(F)、溴(Br)或碘(I)。
所描述之前述鹵代烷及其他鈍化前驅物尤其有益於以最小暴露時間緻密鈍化,且為n<5之小分子。許多此類分子即使在室溫下仍可具有足以用於向待鈍化之表面進行氣相遞送的足夠高之蒸氣壓,以使得鈍化劑來源無需經加熱。諸如起泡器及蒸發器的經加熱之氣相來源可能藉由引起一定量之熱分解而降低系統效率。雖然在一些具體例中亦可採用較長鏈有機分子(例如n
Figure 107124168-A0305-02-0023-1
5),但隨著分子大小增加,更期望進行加熱以獲得足以用於遞送之足夠蒸氣壓,且無針孔(pin-hole free)之緻密鈍化層變得難以達成或必然伴有較長暴露時間。
在一些具體例中,小鈍化劑之分子量可小於或等於330g/mol、小於或等於250g/mol、小於或等於170g/mol、小於或等於120g/mol、或小於或等於60g/mol、或為介於此等值中之任一者之間的任何範圍。舉例而言,在一些具體例中,分子量小於或等於250g/mol之小鈍化劑可為三甲基矽烷基硫醇、三氯錫烷、二氯錫烷、氯錫烷、二甲基矽烷硫醇及甲基矽烷硫醇。在一些具體例中,分子量小於或等於120g/mol之小鈍化劑的其他實施例包括二氯甲烷(dichloromethane;DCM)及三氟甲基硫醇。在一些具體例 中,分子量小於或等於60g/mol之小鈍化劑的其他實施例包括氯甲烷、甲硫醇、甲腈(methanenitrile)、氰酸甲酯及異甲腈(methanisonitrile)。在一些具體例中,分子量小於或等於330g/mol之小鈍化劑的其他實施例包括六甲基二錫(hexamethylditin)及氯五甲基二錫(chloropentamethylditin)。在一些具體例中,小鈍化劑在一個小鈍化劑分子中之原子總數目可少於或等於5、少於或等於7、少於或等於10、少於或等於15、少於或等於20、或少於或等於25個原子,或為介於此等值中之任一者之間的任何範圍。
在一些具體例中,小鈍化劑可為有機不飽和烷烴。在一些具體例中,有機烷烴可含有少於或等於5個碳原子、少於或等於4個碳原子、少於或等於3個碳原子、少於或等於2個碳原子、或少於或等於一個碳原子、或介於此等值中之任一者之間的任何範圍。舉例而言,在一些具體例中,含有少於或等於5個碳原子之有機烷烴包括丙二烯、丁二烯及丙烯。在另一個實施例中,在一些具體例中,含有少於或等於5個碳原子之鹵代烷包括二氯甲烷(dichloromethane;DCM)及氯甲烷。
在一些具體例中,鈍化劑包含式SiH2X2、SiH3X、GeH2X2或GeH3X之鹵代矽烷或鹵代鍺烷,或通式GeRaXb、SiRaXb、GeRaHcXb或SiRaHcXb之鹵代矽烷或鹵代鍺烷,其中:R可為氫或烷基;X可為鹵素,諸如Cl、F、Br或I;及a、b及c為化學計量之整數值,其各自單獨地選自0、1、 2、3或4。
在一些具體例中,鈍化劑包含式SnH3X、SnH2X2或SnH3X之鹵代錫烷、或通式SnRaXb、SnRaHcXb或Sn2RaHcXb之鹵代錫烷,其中:R可為氫或烷基;X可為鹵素,諸如Cl、F、Br或I;及a、b及c為化學計量之整數值,其各自單獨地選自0、1、2、3、4、5、6、7或8。
在一些具體例中,鈍化劑包含式RZ、CF2HZ、CFH2Z、(CH3)3SiZ、CF3Z、(CH3)3CZ、SnHcRaZ或CHcRaZ之化合物,其中:R可為氫或烷基;Z可為官能基。在一些具體例中,Z可為烷基、乙酸酯、腈、異腈、異氰酸酯、胺、硫醇、磺醯氯、醯氯或烷氧基。舉例而言,在一些具體例中,Z可為甲氧基、乙氧基、甲磺醯氯、反丁烯二醯氯、三甲基乙磺醯氯、異丁磺醯氯、三氟甲硫醇、三甲基矽烷基疊氮化物、三甲基矽烷基乙酸酯或甲基;及a及c為化學計量之整數值,其各自單獨地選自0、1、2、3或4。
在一些具體例中,鈍化劑包含式R1R2SiX或R1R2R3SiX之化合物,其中:R1、R2及R3可為氫或烷基; X可為鹵素,諸如Cl、F、Br或I。
前述化合物每分子可包括超過兩個鹵素原子,諸如1,2二氯乙烷,且可具有諸如F或烷氧基之官能基。
在一些具體例中,小鈍化劑可配位至金屬表面上。在一些具體例中,小鈍化劑可與官能化介電性表面反應。在一些具體例中,小鈍化劑包含烷基。在一些具體例中,烷基可含有少於或等於10、7、5、4或3個碳原子、或此等值中之任一者之間的任何範圍。
處理流程
圖4-7提供用於鈍化及選擇性沈積之處理流程的實施例。
最初參看圖4,製程400包含步驟410用小分子鈍化劑使導電性表面鈍化。如上文所指出,小係與習知SAM前驅物相比,該等習知SAM前驅物通常包括提供高度自身有序性以及穩定性之相對較長(>5)碳鏈。上文提供鈍化劑之適合類別及實施例,其通常包括背向鈍化中之導電性表面的疏水性或在其他情況下非反應性之封端。導電性表面可包含例如反應器的金屬性表面或經部分製造之積體電路上之導體的金屬性表面。鈍化可具有選擇性,例如藉由未能黏附於介電性材料而具有選擇性。
在步驟410鈍化後,步驟420將材料相對於經鈍化之導電性表面選擇性地沈積於介電性表面上。實施例包括表面敏感性氣相沈積製程,諸如CVD及ALD,該等製程可藉由步驟410鈍化 來抑制或甚至失活。選擇性可為絕對選擇性,但可為顯著小於絕對選擇性,尤其在將底切及移除鈍化層上方之任何沈積的可能後續鈍化層移除的情況下。介電質可處於在反應器表面鈍化之後裝載至反應器中的基板上,或可處於與同一基板上經鈍化之導體相鄰的介電性表面上。
可能的是,步驟410鈍化可滿足步驟420選擇性沈積之持續時間。然而,可能的是,步驟410鈍化不能承受尤其冗長之沈積製程、尤其具反應性之沈積反應物或尤其嚴苛之沈積條件(例如高溫,諸如大於約420℃,且特定言之高於約450℃)。在此類情形中,可能期望以循環方式步驟430重複步驟410鈍化及步驟420選擇性沈積。
現參看圖5,更特定之實施例製程500包括步驟510最初使金屬性表面鈍化,後接ALD工序520。所繪示之ALD工序520包括步驟525供應金屬前驅物、步驟530自相關表面移除任何過量前驅物及副產物、步驟535供應第二反應物以形成介電性材料、及步驟540自相關表面移除任何過量前驅物及副產物。ALD工序520可將介電性材料,一般每循環少於約一個單層之介電性材料相對於經鈍化之金屬性表面選擇性地沈積於介電性表面上。如關於圖4中之步驟430重複所指出,在許多循環之後,可能的是平均起來,應對鈍化進行更新。此在圖5中由判斷框550指示,其中決定是否期望進一步鈍化。若期望進一步鈍化,則製程可循環(loop)或步驟555重複以使步驟510金屬性表面鈍化開始之製程。若不 期望進一步鈍化,則在判斷框560處判定沈積是否完全。若沈積不完全,則可重複ALD工序520。
圖6繪示用於使基板之部分鈍化且選擇性地沈積於該基板之其他部分上之製程600的特定實施例。特定言之,製程600可包括步驟610將基板裝載至製程腔室中。在製程腔室中步驟620使基板之金屬性表面鈍化。隨後,步驟630選擇性沈積相對於經鈍化之金屬性表面,在基板之介電性表面上執行。步驟630選擇性沈積可為表面敏感性製程,諸如CVD或ALD,且可例如將介電性金屬氧化物沈積於介電性表面上而在經鈍化之金屬性表面上沈積最少或無沈積。步驟630選擇性沈積可在與步驟620鈍化相同之腔室中執行,可在使用相同成簇工具(參見下文實驗討論)之不同腔室中執行,或可在不同工具中一起執行。雖然未顯示,但在嚴苛條件磨損鈍化之後,製程可循環地步驟620鈍化及步驟630選擇性沈積以用於提昇選擇性或更新鈍化。
圖7繪示用於使反應器表面鈍化且相對於反應器表面選擇性地沈積於基板上一種製程700的特定實施例。特定言之,製程600包括步驟710使反應器表面鈍化,諸如藉由向反應器表面供應鈍化劑來鈍化。反應器表面可包括其中加工基板之製程腔室的內表面,且亦可包括暴露於加工反應物中之相鄰表面,諸如入口及出口表面。隨後步驟720將基板裝載至反應器之製程腔室中,且步驟730相對於該反應器的經鈍化之金屬性表面,在該基板之至少一些表面上執行選擇性沈積。步驟730選擇性沈積可為表面 敏感性製程,諸如CVD或ALD,且可例如將介電性金屬氧化物沈積於基板上×介電性表面上而在反應器的經鈍化之金屬性表面上沈積最少或無沈積。
實驗結果
圖8繪示用小分子鈍化劑使各種材料鈍化之實驗的結果及此後其對介電質之後續ALD的作用。特定言之,在成簇工具之製程腔室中,在420℃下使各種材料(TiN、矽之原生氧化物、ZrOx)暴露於多個脈衝(200或500個)的作為鈍化劑之二氯甲烷(DCM)中。隨後,使基板各自經歷多個循環(25或50個)的用於ZrOx之ALD製程,包括在不破壞真空的情況下,在具有相同成簇工具之ALD製程腔室中,在150℃下使基板交替暴露於ZrDO4及水中。儘管暴露於500個循環之DCM鈍化劑中,但介電性材料中之每一者皆未針對後續ZrO2 ALD而鈍化。在未任意暴露於鈍化劑中(「無鈍化」)的情況下,ZrO2容易沈積於TiN上,如由20原子% Zr所指示,如藉由XPS分析所測量,指示ZrO2 ALD製程將沈積於未經鈍化之TiN上。然而,僅獲得3原子% Zr的經鈍化之TiN,如藉由XPS分析所測量,即使在50個循環之ZrO2 ALD之後仍如此。因此,DCM成功地使導電性表面針對ZrO2 ALD而鈍化,而不使介電性表面鈍化。吾人預期,鈍化及沈積之進一步循環可獲得甚至更好之選擇性。
圖9顯示以不同形式進行之相同實驗的結果,且繪示200 個循環之DCM暴露成功地使TiN基板針對ZrO2 ALD而鈍化,而500個循環之DCM暴露未能使介電性(原生氧化物及ZrOx)基板鈍化。在DCM暴露之前及之後亦測量水接觸角,且發現其對TiN自約25°增加至約90°。
對熟悉本技藝者將顯而易見的是,在不背離本發明之範疇或精神的情況下,可在本發明中進行各種修改及變化。因此,意欲本發明涵蓋本發明之修改及變化,而限制條件為其等在所附申請專利範圍及其等效物範疇內出現。
400:製程
410:鈍化步驟
420:選擇性沈積步驟
430:重複步驟

Claims (20)

  1. 一種用於氣相塗佈之方法,該方法包含:使導電性表面針對氣相沈積而鈍化,其中鈍化包含使該導電性表面暴露於氣相鈍化劑中以形成經鈍化之導電性表面,且其中該氣相鈍化劑包含鹵化物;以及藉由使該經鈍化之導電性表面及介電性表面兩者暴露於氣相沈積反應物中,將一個層相對於該經鈍化之導電性表面選擇性地沈積於該介電性表面上。
  2. 如申請專利範圍第1項所述之方法,其中,該鹵化物包含小於自組裝單層(self-assembled monolayer;SAM)前驅物的具有多於五個碳原子之碳鏈的分子。
  3. 如申請專利範圍第2項所述之方法,其中,該鹵化物包含鹵素封端。
  4. 如申請專利範圍第2項所述之方法,其中,該經鈍化之導電性表面包含疏水性封端或對該氣相沈積反應物之選擇性沈積呈惰性的封端。
  5. 如申請專利範圍第1項所述之方法,其中,該鹵化物包含具有一個或多個烷基鏈之化合物,其中該一個或多個烷基鏈各自具有少於5個碳原子。
  6. 如申請專利範圍第1項所述之方法,其中,該鈍化劑包含分子量小於或等於330g/mol之化合物。
  7. 如申請專利範圍第1項所述之方法,其中,該鹵化物包含式CnH2n+1X、CnH2nX2、或R1R2CX之鹵代烷,其中:R1及R2各自單獨地為氫或烷基;X為鹵素;且n小於5。
  8. 如申請專利範圍第1項所述之方法,其中,該鹵化物包含有含鹵素之矽烷、含鹵素之鍺烷、或式SiH2X2、SiH3X、GeH2X2或GeH3X之化合物,其中X為鹵素。
  9. 如申請專利範圍第1項所述之方法,其中,該鹵化物包含含鹵素之矽烷、含鹵素之鍺烷、含鹵素之錫烷、或式GeRaXb、SiRaXb、GeRaHcXb、SiRaHcXb、SnRaXb、SnRaHcXb、Sn2RaHcXb、SiHcXRa之化合物,其中:R為烷基;X為鹵素;a為選自0、1、2、3或4的化學計量之整數值;b為選自1、2或3的化學計量之整數值;且c為選自0、1、2或3的化學計量之整數值。
  10. 如申請專利範圍第1項所述之方法,其中,該鹵化物包含式RZ、CF2HZ,CFH2Z、(CH3)3SiZ、CF3Z、(CH3)3CZ、SnHcRaZ或CHcRaZ之化合物,其中:R為烷基;Z為烷基、乙酸酯、腈、異腈、異氰酸酯、胺、硫醇、磺醯 氯、醯氯或烷氧基;a為選自0、1、2、3或4的化學計量之整數值;且c為選自0、1、2或3的化學計量之整數值。
  11. 如申請專利範圍第1項所述之方法,其中,該鹵化物包含式R1R2SiX或R1R2R3SiX之化合物,其中:R1、R2及R3各自單獨地為氫或各自具有少於5個碳原子之烷基;且X為鹵素。
  12. 如申請專利範圍第1項所述之方法,其中,該鈍化劑在引入以使該導電性表面暴露之前未經加熱。
  13. 如申請專利範圍第1項所述之方法,其中,該導電性表面包含金屬性表面。
  14. 如申請專利範圍第1項所述之方法,其中,該介電性表面包含氧化物或氮化物表面。
  15. 如申請專利範圍第1項所述之方法,其中,選擇性地沈積包含原子層沈積。
  16. 如申請專利範圍第1項所述之方法,其中,該導電性表面之鈍化相對於該介電性表面選擇性地形成經鈍化之導電性表面。
  17. 如申請專利範圍第16項所述之方法,其中,在不阻擋該介電性表面上之鈍化且在該導電性表面上無催化劑的情況下,鈍化直接形成在該導電性表面上。
  18. 如申請專利範圍第1項所述之方法,其中,該導電性表面之鈍化在約1天或少於1天內執行。
  19. 如申請專利範圍第1項所述之方法,其中,該導電性表面包含氧。
  20. 如申請專利範圍第1項所述之方法,其中,該鹵化物是鹵代烷。
TW107124168A 2017-07-14 2018-07-13 氣相塗佈之方法及氣相沈積製程 TWI772460B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762532877P 2017-07-14 2017-07-14
US62/532,877 2017-07-14

Publications (2)

Publication Number Publication Date
TW201908506A TW201908506A (zh) 2019-03-01
TWI772460B true TWI772460B (zh) 2022-08-01

Family

ID=64999973

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111124341A TWI819682B (zh) 2017-07-14 2018-07-13 氣相塗佈之方法及氣相沈積製程
TW107124168A TWI772460B (zh) 2017-07-14 2018-07-13 氣相塗佈之方法及氣相沈積製程

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111124341A TWI819682B (zh) 2017-07-14 2018-07-13 氣相塗佈之方法及氣相沈積製程

Country Status (3)

Country Link
US (3) US10900120B2 (zh)
KR (1) KR20190008153A (zh)
TW (2) TWI819682B (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
AU2018261007A1 (en) 2017-05-05 2019-11-07 Quantum-Si Incorporated Substrates having modified surface reactivity and antifouling properties in biological reactions
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) * 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
KR102138149B1 (ko) * 2019-08-29 2020-07-27 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
AU2020364058A1 (en) 2019-10-11 2022-05-26 Quantum-Si Incorporated Surface modification in the vapor phase
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
EP4065746A4 (en) * 2019-12-27 2023-06-28 Versum Materials US, LLC Method for depositing a film
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
KR102254395B1 (ko) * 2020-07-17 2021-05-24 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
CN112071864B (zh) * 2020-09-10 2023-12-01 Tcl华星光电技术有限公司 一种阵列基板及其制作方法
US11621161B2 (en) * 2020-10-27 2023-04-04 Applied Materials, Inc. Selective deposition of a passivation film on a metal surface
US20220127717A1 (en) * 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
WO2022104226A1 (en) * 2020-11-16 2022-05-19 Versum Materials Us, Llc Selective deposition of silicon and oxygen containing dielectric film on dielectrics
JP2023553386A (ja) * 2020-12-01 2023-12-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 選択的プラズマ強化原子層堆積
US20230002890A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Multiple surface and fluorinated blocking compounds
US11990369B2 (en) * 2021-08-20 2024-05-21 Applied Materials, Inc. Selective patterning with molecular layer deposition
US20230154757A1 (en) * 2021-11-12 2023-05-18 International Business Machines Corporation Selective deposition on metals using porous low-k materials
JP7426978B2 (ja) * 2021-12-08 2024-02-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2023205332A1 (en) * 2022-04-21 2023-10-26 Gelest, Inc. Area selective atomic layer deposition of metal oxide or dielectric layer on patterned substrate
WO2024091688A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Method of blocking dielectric surfaces using blocking molecules to enable selective epi deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200502427A (en) * 2003-05-09 2005-01-16 Asm Inc Reactor surface passivation through chemical deactivation
TW201131647A (en) * 2009-12-17 2011-09-16 Lam Res Corp Method and apparatus for processing bevel edge
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
US20150299848A1 (en) * 2014-04-16 2015-10-22 Asm Ip Holding B.V. Dual selective deposition

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
EP1563117B1 (en) 2002-11-15 2010-01-06 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2009135686A2 (en) 2008-05-09 2009-11-12 Amf Gmbh Self-assembled monolayers and method of production
TW201013961A (en) 2008-07-16 2010-04-01 Applied Materials Inc Hybrid heterojunction solar cell fabrication using a metal layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
KR101895398B1 (ko) 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
KR102099841B1 (ko) 2013-06-28 2020-04-13 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
MX2016002656A (es) 2013-09-20 2016-06-06 Baker Hughes Inc Materiales compuestos para uso en operaciones de estimulacion y control de arena.
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
EP3122918A4 (en) 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
WO2016204772A1 (en) 2015-06-18 2016-12-22 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
WO2017151639A1 (en) 2016-03-03 2017-09-08 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
KR102375981B1 (ko) 2016-07-04 2022-03-18 삼성전자주식회사 반도체 장치 제조 방법 및 반도체 장치 제조 설비
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200502427A (en) * 2003-05-09 2005-01-16 Asm Inc Reactor surface passivation through chemical deactivation
TW201131647A (en) * 2009-12-17 2011-09-16 Lam Res Corp Method and apparatus for processing bevel edge
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
US20150299848A1 (en) * 2014-04-16 2015-10-22 Asm Ip Holding B.V. Dual selective deposition

Also Published As

Publication number Publication date
US10900120B2 (en) 2021-01-26
US20190017170A1 (en) 2019-01-17
US20210115559A1 (en) 2021-04-22
TW201908506A (zh) 2019-03-01
US11739422B2 (en) 2023-08-29
US11396701B2 (en) 2022-07-26
TWI819682B (zh) 2023-10-21
KR20190008153A (ko) 2019-01-23
TW202244296A (zh) 2022-11-16
US20220349059A1 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
TWI772460B (zh) 氣相塗佈之方法及氣相沈積製程
JP7300032B2 (ja) 酸化物薄膜の堆積
TWI721896B (zh) 選擇性地沈積金屬氧化物膜的方法
US20210351031A1 (en) Selective deposition using hydrophobic precursors
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
KR101496644B1 (ko) 증착으로부터 반응성 부위의 비활성화
JP5497442B2 (ja) 金属炭化物膜の気相成長
Knoops et al. Atomic layer deposition
KR102515131B1 (ko) 선택적 원자 층 증착 방법들
JP2020172704A (ja) 金属表面上の金属酸化物の選択的堆積
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
TW202204658A (zh) 在兩不同表面上同時選擇性沉積兩不同材料
US20220084817A1 (en) Silicon oxide deposition method
TW202143314A (zh) 在選擇性原子層蝕刻中使用超薄蝕刻停止層的方法
TWI838513B (zh) 金屬氧化物在金屬表面上之選擇性沉積
TW202338133A (zh) 使用抑制劑沉積間隙之方法及系統