KR20190008153A - 기상 증착 저항성 패시베이션 - Google Patents

기상 증착 저항성 패시베이션 Download PDF

Info

Publication number
KR20190008153A
KR20190008153A KR1020180081921A KR20180081921A KR20190008153A KR 20190008153 A KR20190008153 A KR 20190008153A KR 1020180081921 A KR1020180081921 A KR 1020180081921A KR 20180081921 A KR20180081921 A KR 20180081921A KR 20190008153 A KR20190008153 A KR 20190008153A
Authority
KR
South Korea
Prior art keywords
passivation
conductive surface
halogen
deposition
dielectric
Prior art date
Application number
KR1020180081921A
Other languages
English (en)
Inventor
바룬 스하르마
에바 토이스
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20190008153A publication Critical patent/KR20190008153A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/24Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials for applying particular liquids or other fluent materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기상 증착을 억제하기 위한 패시베이션 층들이, 내부에 수납된 기판 상에, 또는 인접한 유전체 표면들 상에 선택적 퇴적을 용이하게 하기 위해 반도체 기판들 상의 금속성 표면들과 같은 특정 기판 표면들 상에 퇴적을 하면서 퇴적물을 최소화하기 위해 반응기 표면들 상에 사용될 수 있다. 통상적인 자기-조립 단층 전구체보다 작은 패시베이션제들은 소수성 또는 비반응성 말단을 가질 수 있고, 특히 복잡한 3차원 구조물들에 대해 자기-조립 단층들보다 더욱 빠르게 더욱 조밀한 패시베이션 층들을 촉진시킬 수 있다.

Description

기상 퇴적에 대한 보호{Passivation against vapor deposition}
본원은 일반적으로 리액터 표면 또는 기판 상의 선택적 표면과 같이 선택 표면 상에 기체 상태 증착을 방해하는 패시베이션에 관한 것이다.
원자층 증착법(ALD)은 반도체 산업에서 물질의 박막을 실리콘 웨이퍼와 같은 기판 상에 형성하는 공정으로 알려져 있다. ALD는 기상 증착법의 유형으로 사이클로 수행되는 자기-포화 표면 반응을 통해 막이 형성된다. ALD 공정에서 기상 전구체는 기판에 교대로 반복 공급되어 기판 상에 물질의 박막을 형성한다. 일 반응물은 기판 상에 자기-제한 공정으로 흡착한다. 후속 반응물 펄스는 상기 흡착된 물질과 반응하여 원하는 물질의 분자층을 형성한다. 후속 펄스는 흡착된 층에서의 리간드를 줄이거나 제거할 수 있고, 그러한 리간드를 대체하거나 달리 원자를 첨가할 수 있다(예, 산화, 질화 등). 전형적인 ALD 반응에서, 분자 단층 정도가 사이클마다 형성된다. 사이클은 보다 복잡할 수 있으며, 세 개 이상의 반응물을 순차적으로 포함한다. 일부 알려진 ALD 장점은 저온 공정 처리 및 거의 완벽한 등각성(conformality)으로, 반도체 공정 처리에 있어서 ALD에 지대한 관심을 초래한다.
기판 상에 물질의 박막을 증착하기 위해, ALD 외에 다른 공정들이 존재한다. 그러한 일 공정이 화학 기상 증착법(CVD)인데, 박막을 형성하고자 기판 상에서 반응 및/또는 분해하는 하나 이상의 휘발성 전구체에 기판이 노출된다. 순수한 ALD와 달리 CVD에서는 상호 반응하는 반응물이 자주 동시에 기판에 노출된다. 하이브리드 방식의 ALD/CVD 공정은 전구체의 일부 중복을 허용하여, ALD의 등각성 이점의 일부 및 CVD의 속도 이점의 일부를 얻는다. ALD 및 CVD 모두 노출 표면에 민감할 수 있다. 전구체 및 증착 조건에 따라, 기상 증착 공정은 상이한 표면에서 핵 생성을 잘 하거나 잘 하지 못할 수 있다.
공정 처리 동안 증착은 원하는 영역이 아닌 다른 노출 표면 상에서 항상 일어난다. 예를 들어, 다수 개의 기판이 순차적으로 공정 처리될 때, 막 형성은 리액터의 노출 표면 상에서 발생할 수 있다. 축적된 막은 리액터 표면으로부터 박리되거나 떨어져 나와서 기판 표면을 오염시킬 수 있다. 리액터 표면 상에 느슨하게 달라붙은 많은 양의 축적된 막은 반응물 펄스에 노출된 전체 표면적을 또한 증가시켜서, 기판 표면을 포화하는데 요구되는 펄스 및 퍼지 시간을 증가시킨다. 또한, 반도체 소자 구조의 원하지 않는 영역(예, 유전체 표면)에 막은 증착될 수 있으며, 추가적인 패터닝 및 에칭 스텝을 수반한다.
현재, 리액터 표면 또는 소자 구조 상에 원하지 않는 막 증착의 양을 줄이거나 방지하는 선택 공정이 이용 가능하다. 일부 이러한 공정은 미국 특허 제 7,914,847 호, 및 제 8,293,658 호에 기술된 것과 같이 리액터 표면 위에 보호용 자기 조립 단층(SAM)의 결과를 초래하는 처리 공정을 이용하며, 이들의 개시 내용은 모든 목적을 위해 그 전체가 본원에 참고로 인용된다. 다른 공정은 상기에 인용된 미국 특허 제 8,293,658 호 및 미국 특허 공개 공보 제 2016-0247695 A1 호, 제 2015-0299848 A1 호, 제 2015-0217330 A1 및 미국 특허 제 9,112,003 호와 같이, 다른 기판 표면 상에는 최소 또는 거의 증착이 없고, 기판 표면의 일부 유형 상에는 선택적 증착 결과를 초래하는 처리 공정을 이용하며, 이들의 개시 내용은 모든 목적을 위해 그 전체가 본원에 참고로 인용된다.
액상과는 대조적으로 기상으로부터 SAM을 생성하는 것은 ALD 및 CVD에서 사용되는 것과 동일하거나 유사한 유형의 장치를 사용할 수 있는 능력을 포함하여 많은 이유로 유리하다. 유기 실란 계열의 SAM이 기상 공정을 통해 생성될 수 있으나, 그러한 SAM은 원하는 유기 실란 SAM을 생성하기 위해서 전형적으로 다수 개 및 장시간의 노출을 사용한다.
일 양태에서 기상 코팅 방법이 제공된다. 상기 방법은 기상 증착에 대해 전도성 표면을 패시베이션하는 단계를 포함하며, 패시베이션 단계는 패시베이션된 전도성 표면을 형성하도록 전도성 표면을 기상 패시베이션제에 노출시키는 단계를 포함한다. 상기 방법은 패시베이션된 전도성 표면 및 유전체 표면 모두를 기상 증착 반응물에 노출시킴으로써 패시베이션된 전도성 표면에 대해 유전체 표면 상에 선택적으로 층을 증착하는 단계를 더 포함한다.
일부 구현예에서, 패시베이션제는 5개의 탄소 원자보다 큰 탄소 사슬을 갖는 자기 조립 단층(SAM) 전구체보다 작은 분자를 포함한다. 일부 구현예에서, 패시베이션제는 할로겐 종결부를 포함한다. 일부 구현예에서, 패시베이션된 전도성 표면은 소수성 종결부 또는 기상 증착 반응물의 선택적인 증착에 대해 불활성인 종결부를 포함한다. 일부 구현예에서, 패시베이션제는 하나 이상의 알킬 사슬을 갖는 화합물을 포함하되, 알킬 사슬 각각은 5개 미만의 탄소 원자를 갖는다. 일부 구현예에서, 패시베이션제는 330 g/mol 이하의 분자량을 갖는 화합물을 포함한다.
일부 구현예에서, 패시베이션제는 조성식 CnH2n+1X, CnH2nX2, R1R2CX, 또는 R1R2CX의 할로알칸을 포함한다. 일부 구현예에서, R1 및 R2는 각각 개별적으로 수소 또는 알킬기이다. 일부 구현예에서, X는 할로겐이다. 일부 구현예에서, n은 5 미만이다.
일부 구현예에서, 패시베이션제는 할로겐 함유 실란, 할로겐 함유 저메인 또는 조성식 SiH2X2, SiH3X, GeH2X2, 또는 GeH3X의 화합물을 포함한다. 일부 구현예에서, X는 할로겐이다.
일부 구현예에서, 패시베이션제는 할로겐 함유 실란, 할로겐 함유 저메인, 할로겐 함유 스탄난 또는 조성식 GeRaXb, SiRaXb, GeRaHcXb, SiRaHcXb, SnRaXb, SnRaHcXb, Sn2RaHcXb, SiHcXRa의 화합물을 포함한다. 일부 구현예에서, 각각의 R은 개별적으로 수소 또는 5개 미만의 탄소 원자를 갖는 알킬기이다. 일부 구현예에서, X는 할로겐이다. 일부 구현예에서, a, b, 및 c는 각각 개별적으로 0, 1, 2, 3, 4, 5, 6, 7, 또는 8로부터 선택되는 화학양론적 정수값이다.
일부 구현예에서, 패시베이션제는 조성식 RZ, CF2HZ, CFH2Z, (CH3)3SiZ, CF3Z, (CH3)3CZ, SnHcRaZ, 또는 CHcRaZ의 화합물을 포함한다. 일부 구현예에서, 각각의 R은 개별적으로 수소 또는 각각 5개 미만의 탄소 원자를 갖는 알킬기이다. 일부 구현예에서, Z는 알킬, 아세테이트, 니트릴, 이소니트릴, 이소시아네이트, 아민, 티올, 술폰닐클로라이드, 아실클로라이드 또는 알콕시기이다. 일부 구현예에서, a 및 c는 각각 개별적으로 0, 1, 2, 3, 또는 4로부터 선택되는 화학양론적 정수값이다.
일부 구현예에서, 패시베이션제는 조성식 R1R2SiX 또는 R1R2R3SiX의 화합물을 포함한다. 일부 구현예에서, R1, R2 및 R3 각각은 개별적으로 수소 또는 각각 5개 미만의 탄소 원자를 갖는 알킬기이다. 일부 구현예에서, X는 할로겐이다.
일부 구현예에서, 패시베이션제는 전도성 표면을 노출시키는 단계 도입 전에 가열되지 않는다.
일부 구현예에서, 전도성 표면은 금속성 표면을 포함한다. 일부 구현예에서, 전도성 표면은 TiN, W, Co, Cu, Ir 또는 TaN의 하나 이상을 포함한다. 일부 구현예에서, 전도성 표면은 TiN, W, Co, Cu 또는 TaN의 하나 이상을 포함한다.
일부 구현예에서 유전체 표면은 산화물 또는 질화물 표면을 포함한다. 일부 구현예에서, 유전체 표면은 하프늄산화물, 지르코늄산화물, 티타늄산화물, 실리콘질화물 또는 자연 산화물을 포함한다.
일부 구현예에서, 선택적인 증착법은 원자층 증착법을 포함한다. 일부 구현예에서, 선택적인 증착법은 유전체층을 증착하는 것을 포함한다. 일부 구현예에서, 선택적인 증착법은 물, O2, NH3, 또는 O3을 공급하는 것을 더 포함한다. 일부 구현예에서, 선택적인 증착법은 시클로펜디에닐, 알킬, 아미드 또는 알콕시 리간드를 포함하는 금속 전구체를 공급하는 것을 포함한다.
일부 구현예에서, 전도성 표면의 패시베이션은 유전체 표면에 대해 패시베이션된 전도성 표면을 선택적으로 형성한다. 일부 구현예에서, 유전체 표면 상의 패시베이션을 차단하지 않고 도전성 표면 상에 촉매제 없이, 패시베이션은 도전성 표면 상에 직접 형성된다. 일부 구현예에서, 전도성 표면의 패시베이션은 약 1일 이내로 수행된다.
다른 양태에서 기상 증착 공정이 제공된다. 상기 공정은 기상 증착에 대해 도전성 표면을 패시베이션하는 단계를 포함하며, 패시베이션 단계는 패시베이션된 전도성 표면을 형성하도록 기상 할라이드를 포함하는 패시베이션제에 전도성 표면을 노출시키는 단계를 포함한다. 상기 공정은 패시베이션된 전도성 표면 및 유전체 표면 모두를 기상 증착 반응물에 노출시킴으로써 패시베이션된 전도성 표면에 대해 유전체 표면 상에 선택적으로 층을 증착하는 단계를 더 포함한다.
일부 구현예에서, 전도성 표면은 금속 표면 또는 금속 질화물 표면이다. 일부 구현예에서, 전도성 표면은 산소를 포함한다. 일부 구현예에서, 전도성 표면은 TiN을 포함하고, 유전체 표면은 ZrO2를 포함한다.
일부 구현예에서, 패시베이션제는 유기 할라이드이다. 일부 구현예에서, 패시베이션제는 디클로로메탄을 포함한다.
일부 구현예에서, 패시베이션제는 조성식 CnH2n+1X, CnH2nX2, R1R2CX, 또는 R1R2CX의 할로알칸을 포함한다. 일부 구현예에서, R1 및 R2는 수소 또는 알킬기이다. 일부 구현예에서, X는 할로겐이다. 일부 구현예에서, n은 5 미만이다.
일부 구현예에서, 패시베이션제는 할로겐 함유 실란, 할로겐 함유 저메인 또는 조성식 SiH2X2, SiH3X, GeH2X2또는 GeH3X의 화합물을 포함한다. 일부 구현예에서, X는 할로겐이다.
일부 구현예에서, 패시베이션제는 할로겐 함유 실란, 할로겐 함유 저메인, 할로겐 함유 스탄난 또는 조성식 GeRaXb, SiRaXb, GeRaHcXb, SiRaHcXb, SnRaXb, SnRaHcXb, Sn2RaHcXb, SiHcXRa의 화합물을 포함한다. 일부 구현예에서, 각각의 R은 개별적으로 수소 또는 5개 미만의 탄소 원자를 갖는 알킬기이다. 일부 구현예에서, X는 할로겐이다. 일부 구현예에서, a, b, 및 c는 각각 개별적으로 0, 1, 2, 3, 4, 5, 6, 7, 또는 8로부터 선택되는 화학양론적 정수값이다.
일부 구현예에서, 패시베이션제는 할로겐 함유 실란, 할로겐 함유 저메인, 할로겐 함유 스탄난 또는 조성식 RZ, CF2HZ, CFH2Z, (CH3)3SiZ, CF3Z, (CH3)3CZ, SnHcRaZ, 또는 CHcRaZ의 화합물을 포함한다. 일부 구현예에서, 각각의 R은 개별적으로 수소 또는 각각 5개 미만의 탄소 원자를 갖는 알킬기이다. 일부 구현예에서, Z는 알킬, 아세테이트, 니트릴, 이소니트릴, 이소시아네이트, 아민, 티올, 술폰닐클로라이드, 아실클로라이드 또는 알콕시기이다. 일부 구현예에서, a 및 c는 각각 개별적으로 0, 1, 2, 3, 또는 4로부터 선택되는 화학양론적 정수값이다.
일부 구현예에서, 패시베이션제는 조성식 R1R2SiX 또는 R1R2R3SiX의 화합물을 포함한다. 일부 구현예에서, R1, R2 및 R3 각각은 개별적으로 수소 또는 5개 미만의 탄소 원자를 갖는 알킬기이다. 일부 구현예에서, X는 할로겐이다.
일부 구현예에서, 선택적인 증착법은 원자층 증착법을 포함한다.
일부 구현예에서, 전도성 표면의 패시베이션은 약 1일 이내로 수행된다.
도 1a 및 도 1b는 ALD 전구체에 노출된, 위에 가로놓인, 이상적인, 조밀하게 찬 자기 조립 단층(SAM)을 갖는 표면을 도시한다.
도 2a 및 도 2b는 ALD 전구체에 노출된, 일부 비어있는 반응성 부위를 가진, 위에 가로놓인 자기 조립 단층(SAM)을 갖는 표면을 도시한다.
도 3a는 첫 번째 구현예에 따라, 상이한 조성물의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면도이다.
도 3b는 제2 표면의 선택적 패시베이션 후 도 3a의 기판의 개략적인 단면도이다.
도 3c는 제1 표면 상에 선택적 증착 후 도 3b의 기판의 개략적인 단면도이다.
도 3d는 제2 표면으로부터 패시베이션 재료가 제거된 후 도 3c의 기판의 개략적인 단면도이다.
도 4는 다양한 구현예에 따라 패시베이션 및 선택적 증착을 위한 공정을 도시하는 흐름도이다.
도 5는 일부 구현예에 따라 패시베이션 및 선택적 ALD를 도시하는 흐름도이다.
도 6은 기판의 일부 표면을 패시베이션하고 기판의 다른 표면 위에 선택적으로 증착하는 공정을 도시하는 흐름도이다.
도 7은 리액터 공정 챔버 내 기판 상에 후속 증착에 대해 리액터 표면을 패시베이션하는 공정을 도시하는 흐름도이다.
도 8은 패시베이션제에 노출된 후의 상이한 유형의 표면 상에 유전체의 원자층 증착의 다양한 사이클 수에 대한 실험 결과를 나타내는 그래프이다.
도 9는 패시베이션제에 노출된 후의 상이한 유형의 표면 상에 유전체의 원자층 증착의 다양한 사이클 수에 대한 실험 결과를 나타내는 그래프이다.
기상 증착 공정에 대해 부분적으로 공정된 반도체 기판 표면 또는 반도체 공정처리 장비 표면을 패시베이션하는 공정이 본원에 기술된다. 본원에서 교시된 공정은 유전체 표면 상에 후속적인 선택적 증착을 허용하기 위해 전도성(예를 들어, 금속성) 표면을 패시베이션하는 데에 특히 유용하다.
배경 기술에서 언급한 바와 같이, 처리되지 않은 표면 상에 선택적 증착을 허용하는 ALD와 같은 기상 증착에 대해 특정 표면(예, 리액터 표면 및 부분적으로 제조된 집적 회로 구조체의 표면)을 비활성화시키는 공정이 존재한다. 그러한 공정 중 하나는 막 증착을 원하지 않는 노출 표면 위에 자기 조립 단층(SAM)을 형성하는 단계를 포함한다. SAM은 표면 상에 기상 또는 액상 전구체로부터 자발적으로 흡착되는(화학흡착이라고 함) 분자의 상대적으로 질서 정연한 집합체이다. 전형적인 SAM 분자는 말단 작용기, 탄화 수소 사슬 및 헤드 그룹을 포함한다. 선택된 표면 위에 SAM을 형성함으로써, SAM은 특정 유형의 기체 전구체를 사용하여, 노출 표면의 반응성 부위를 차단함으로써(그렇지 않으면, 증착 전구체들과 반응하는), 노출 표면에서의 막 성장을 방지할 수 있다. 이러한 선택적 비활성화는 ALD와 같은 흡착 구동 공정에 대해 특히 효과적일 수 있으나, 특정 유형의 CVD를 방해할 수도 있다.
도 1a 및 도 1b는 ALD 전구체(138)에 종속된, 위에 가로놓인, 이상적인, 치밀하게 채운 자기 조립 단층(SAM)(122)을 갖는 표면(106)을 도시한다. 전술한 바와 같이, 표면(106)은 후속 증착이 억제되어야 하는 임의의 표면일 수 있다. 형성된 SAM(122)은 전형적으로 옥타데실트리클로로실란(ODTS)과 같이 길이가 6개의 탄소보다 긴 탄소 사슬을 전형적으로 포함하는 비교적 긴 사슬 모노머로 형성되어, 고도로 질서 정연한 단층이 자기 조립에 의해 형성될 수 있다. SAM을 형성하는 모노머는 액체 형태 또는 기체 형태로 전달될 수 있다. 도 1a에서 본 바와 같이, SAM 분자(134)는 이론적으로 치밀하게 채워져서 표면(106) 위로 모든 반응성 부위를 채운다. ALD 공정 동안, HfCl4, ZrCl4, TiCl4 또는 트리메틸알루미늄(TMA)와 같은 ALD 전구체는 리액터 속으로 도입될 수 있다. 이들 전구체는 리액터 벽 및 노출된 기판 표면을 포함하여 리액터 내 노출 표면과 반응하는 경향이 있다. 그러나, 긴 사슬 모노머로부터 위에 가로놓인, 치밀하게 채운 SAM (122)을 갖는 표면(106)에 대해, 도 1a에 도시된 바와 같이 ALD 전구체가 접근할 때, SAM(122)은 도 1b에 도시된 바와 같이, 밑에 가로놓인 표면(106)의 반응성 부위를 차단함으로써 밑에 가로놓인 표면(106)이 ALD 전구체와 반응하는 것을 방지할 수 있다. 반응성 부위를 차단하도록 SAM(122)을 사용함으로써, 증착 공정이 선택적이 되도록 비활성 SAM에 의해 덮인 다른 표면 상의 막 증착을 방지하거나 최소화하면서 특정 노출 표면 상에 막을 증착하는 것이 가능하다. 일 예시에서, 기판 상의 절연체는 차단될 수 있으나, 동일한 기판의 인접한 전도성 표면 상에 증착이 발생한다. 다른 예시에서, 리액터 벽은 차단될 수 있으나, 리액터 내의 미처리된 기판 상에서 증착이 발생한다.
대형 유기 분자는 리액터 표면에 자기 조립 단층(SAMs)을 형성할 수 있으며, 이는 매우 안정적이며 표면에서 쉽게 탈착하지 않는다. 또한, 대형 유기 꼬리는 바람직한 입체 방해 효과를 제공하고, ALD 반응물이 리액터 표면에 도달하는 것을 허용하지 않는다.
불행히, 차단용 SAM 분자는 표면(106) 상에 모든 반응성 부위를 거의 차지하지 않으므로, SAM 밑에 놓인 표면(106)은 증착 전구체와 바람직하지 않게 반응할 수 있는 -OH 종결 부위(도 2a에 나타남)와 같은 노출된 반응성 부위를 종종 가진다. 이론에 얽매이지 않지만, 입체 장애가 이러한 부작용을 가질 수 있는 가능성이 있다. 반응성 부위에 흡착된 SAM 분자는 서로간 상호 작용하여 완벽하게 배향된, 조밀하게 채운 분자를 형성하는 대신에 흡착된 SAM 분자가 적절히 배향될 수 없어서, 다른 SAM 분자가 이용 가능한 반응성 부위로 흡착하는 것을 못하게 한다. 비어있는 반응성 부위를 최소화하는 것은 수 시간 또는 심지어 수 일 동안 전형적으로 액체 전구체 내 SAM 모노머에 노출되는 것을 전형적으로 의미한다. 기체 또는 액체 상태 SAM 화학 물질에 노출된 며칠 후에도, 아래에 가로놓인 표면의 반응성 부위는 비어 있으며, 특히 불완전 SAM에서 입체 장애에 의해 차단되지 않는 보다 작은 증착 전구체에 대해서는 후속 증착 동안 성장을 위한 핵 형성 부위로서 작용할 수 있다.
도 2a 및 도 2b는 ALD 전구체(138)에 종속된 자기 조립 단층(122)을 갖는 표면(106)을 도시한다. 도 2a에 나타낸 바와 같이, 표면(106) 위에 SAM(122)을 증착한 후에도, 표면(106)은 증착 동안 ALD 전구체(138)에 접근 가능한 반응성 부위(144)로서 역할하는 자연 산화물 히드로실기를 노출시킨다. 또한, MEMS 소자, finFET 구조, 고 종횡비 용량성 구조 등과 같이 반도체 공정 처리에 점차적으로 사용되는 복잡한 삼차원 구조를 가지고 전면적인 커버리지를 얻는 것은 훨씬 더 어려울 수 있다. 도 2b에 나타낸 바와 같이, HfCl4과 같은 ALD 전구체(138)가 증착 동안 도입될 때, ALD 전구체(138)는 노출된 반응성 부위(144)와 반응할 수 있고, 원하지 않는 성장 또는 핵 생성을 초래한다.
기판 표면
본 개시의 일부 양태에 따라, 선택적 증착은 제1 표면에 대해 우선적으로 제2 표면 상에 관심막을 증착하기 위해 사용될 수 있다. 상기 두 표면은 패시베이션 물질이 그 위에 선택적으로 형성되도록 하는, 예컨대 제2 표면에 대해 제1 표면 상에 패시베이션 층이 선택적으로 증착되게 하는 상이한 물성을 가질 수 있으며, 이는 이어서 패시베이션된 제1 층에 대해 제2 표면 상에 관심층의 후속적인 선택적 증착을 허용한다.
예를 들어, 본원에 기술된 구현예에서, 상기 표면 중 하나는 기판의 전도성(예, 금속 또는 금속성) 표면일 수 있으며, 다른 표면은 기판의 비전도성(예, 무기 유전체) 표면일 수 있다. 두 표면 사이의 재료 차이는 기상 증착법이 제2 표면에 대해 제1 표면 상에 패시베이션층을 선택적으로 형성할 수 있도록 한다. 일부 구현예에서, 주기적인 기상 증착이 사용되며, 예를 들어 주기적인 CVD 또는 원자층 증착(ALD) 공정이 사용된다. 일부 구현예에서, 패시베이션층 형성에 대한 선택도는 더 적은 패시베이션층을 수용하도록 표면 상에 패시베이션 차단제 없이 달성될 수 있고/거나, 더 많은 패시베이션층을 수용하도록 표면 상에 촉매제 없이 달성될 수 있다. 예를 들어, 제1 표면이 금속성이고 제2 표면이 유전체인 구현예에서, 패시베이션층은 유전체 표면에 대해 금속성 표면에 선택적으로 직접 증착될 수 있다. 패시베이션층의 선택적 형성이 완료된 후, 패시베이션된 제1 표면에 대해 비패시베이션된 제2 표면 상에, 유전체 재료 상에 유전체 재료와 같은 관심 재료의 선택적 증착이 더 수행될 수 있다.
하나의 표면이 금속을 포함하나 다른 표면은 금속을 포함하지 않는 구현예에 있어서, 달리 명시되지 않는다면 본원에서 표면이 금속 표면으로 지칭되는 경우, 이는 금속 표면 또는 금속성 표면일 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 금속, 금속 산화물, 및/또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면의 금속 또는 금속성 재료는 표면 산화 유무에 상관없이 전기적으로 전도성이다. 일부 구현예에서, 금속 또는 금속성 표면은 하나 이상의 전이 금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 TiN, W, Co, Cu, Ir, 또는 TaN 중 하나 이상을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 Al, Ni, Nb, Fe 중 하나 이상을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 Ru와 같은 하나 이상의 귀금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 산화물, 질화물, 탄화물, 붕화물, 또는 이들의 조합을 포함한다. 예를 들어, 금속 또는 금속성 표면은 RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, WNCx, TaN, 또는 TiN 중 하나 이상을 포함할 수 있다. 아래에서 언급된 실험에서, 금속성 표면은 질화 티타늄을 포함한다.
일부 구현예에서, 금속 또는 금속성 표면은 코발트(Co), 구리(Cu), 또는 텅스텐(W)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 본원에 기술된 바와 같이 패시베이션층의 선택적 증착 단계에 사용된 패시베이션제를 수용하거나 이와 배위될 수 있는 임의의 표면일 수 있다.
일부 구현예에서, 패시베이션 재료는 제2 유전체 표면에 대해 금속성 재료의 산화된 표면인 제1 표면 상에 선택적으로 증착된다.
일부 구현예에서, 제1 표면은 기판의 금속 또는 금속성 표면이고, 다른 하나의 표면은 기판의 유전체 표면이다. 유전체라는 용어는 다른 표면, 즉 금속 또는 금속성 표면과의 구분을 간략화하기 위해 본 명세서에 사용된다. 당업자는 모든 비전도성 표면이 유전체 표면은 아니며, 역으로 모든 금속성 표면이 전도성은 아니라는 것을 이해할 것이다. 예를 들어, 금속 또는 금속성 표면은 높은 비저항을 생성할 수 있는 일부 산화를 포함할 수 있다. 본원에서 교시된 선택적 증착 공정은 전도성의 정도에 상관없이 패시베이션된 금속성 표면 상에 최소의 증착으로 유전체 표면 상에 증착될 수 있다.
일부 구현예에서, 기판은 선택적 증착 공정의 시작 또는 이전에 전처리되거나 세척될 수 있다. 일부 구현예에서, 기판은 선택적 증착 공정의 시작 또는 이전에 플라즈마 세척 공정을 거칠 수 있다. 일부 구현예에서, 플라즈마 세척 공정은 이온 충격을 포함할 수 없거나 상대적으로 적은 양의 이온 충격을 포함할 수 있다. 예를 들어, 일부 구현예에서 선택적 패시베이션의 시작 또는 이전에, 기판 표면은 플라즈마, 래디컬, 여기종, 및/또는 원자종에 노출될 수 있다. 일부 구현예에서, 선택적 패시베이션의 시작 또는 이전에, 기판 표면은 수소 플라즈마, 래디컬, 또는 원자종에 노출될 수 있다. 일부 구현예에서, 선택적 패시베이션의 시작 또는 이전에, 기판 표면은 수소, 암모니아, 및 이들의 혼합물에 노출과 함께 열적으로 처리될 수 있다. 일부 구현예에서, 전처리 또는 세척 공정은 선택적 증착 공정과 동일한 반응 챔버에서 수행될 수 있지만, 일부 구현예에서 전처리 또는 세척 공정은 별도의 반응 챔버에서 수행될 수 있으며, 패시베이션 공정은 후속의 선택적 증착과는 다른 별개의 챔버에서 또한 수행될 수 있다.
패시베이션되지 않은 유전체의 표면 예는, 그리고 전도성 표면을 패시베이션한 후 그 위에 선택적인 증착이 일어날 수 있는 유전체 표면의 예는 하프늄산화물, 지르코늄산화물, 티타늄산화물, 실리콘 상의 자연 산화물, 실리콘질화물, 실리콘산질화물 등의 무기 유전체 재료를 포함한다.
선택도
당업자는 패시베이션층 및 후속하는 관심층의 선택적인 형성이 완전히 선택적이거나 부분적으로 선택적일 수 있다는 것을 이해할 것이다. 부분적으로 선택적인 공정은 표면 A 위로부터 증착된 재료 모두를 제거하지 않으면서 표면 B 위로부터 증착된 재료 모두를 제거하는 증착후 에칭에 의해 완전히 선택적인 층을 유도할 수 있다. 간단한 에치 백 공정은 고 비용의 마스킹 공정에 대한 필요성 없이 완전히 선택적인 구조를 남길 수 있기 때문에, 원하는 잇점을 얻기 위해 선택적 증착은 완전히 선택적일 필요는 없다.
표면 B에 대해 표면 A 상의 증착 선택도는 [(표면 A 상의 증착)-(표면 B 상의 증착)]/(표면 A 상의 증착)에 의해 계산되는 백분율로서 주어질 수 있다. 증착은 임의의 다양한 방식으로 측정될 수 있다. 예를 들어, 증착은 증착된 재료의 측정된 두께로서 주어지거나, 증착된 재료의 측정된 양으로서 주어질 수 있다. 본원에 기술된 구현예에서, 패시베이션층의 선택적 형성은 제2 표면(B)에 대해 제1 표면(A) 상에 수행될 수 있다. 이어서, 관심층은 제 1 표면 위의 패시베이션층(상기 식을 적용할 목적으로 B가 됨)에 대해 제2 표면 상에 선택적으로 증착된다(상기 공식을 적용할 목적으로 A가 됨).
일부 구현예에서, (제2 표면에 대해) 제1 표면 상의 패시베이션층의 선택적 증착을 위한 선택도 및/또는 (제1 표면 상의 패시베이션층에 대해) 제2 표면 상의 관심층의 선택도는 약 10 % 초과, 약 50 % 초과, 약 75 % 초과, 약 85 % 초과, 약 90 % 초과, 약 93 % 초과, 약 95 % 초과, 약 98 % 초과, 약 99 % 초과, 또는 심지어 약 99.5 %를 초과한다. 표면 및 전구체 화학 물질에 따라, 패시베이션된 금속 표면에 대해 유전체 표면 위의 증착 선택도가 증착의 지속 시간 또는 두께에 따라 변할 수 있다. 그러한 경우라면, 선택도는 패시베이션제에 대한 노출을 반복함으로써(예, 다수 개의 ALD 사이클과 패시베이션 공정 사이의 사이클링) 향상될 수 있다.
일부 구현예에서, 패시베이션층은 제1 표면 상에서만 형성되고 제2 표면 상에서는 형성되지 않는다. 일부 구현예에서, 기판의 표면 B에 대해 기판의 표면 A 상의 증착은 적어도 약 80% 선택적인데, 이는 일부 특정 응용예에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 표면 B에 대해 기판의 표면 A 상의 증착은 적어도 약 50% 선택적인데, 이는 일부 특정 응용예에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 표면 B에 대해 기판의 표면 A 상의 증착은 적어도 약 10% 선택적인데, 이는 일부 특정 응용예에서 충분히 선택적일 수 있다. 당업자는 부분적으로 선택적인 공정이 표면 A 위로부터 증착된 재료 모두를 제거하지 않으면서 표면 B 위로부터 증착된 재료 모두를 제거하는 증착후 식각에 의해 완전히 선택적인 구조를 초래할 수 있다는 것을 이해할 것이다.
일부 구현예에서, 패시베이션층은 기판의 제1 표면 상에 선택적으로 증착되며, 약 3 nm 미만, 약 2 nm 미만, 또는 약 1 nm 미만의 두께를 가질 수 있으며, 기판의 제2 표면에 대해 기판의 제1 표면 상에 증착되는 재료의 비율은 약 2:1 이상, 20:1 이상, 15:1 이상, 10:1 이상, 5:1 이상, 3:1 이상 또는 2:1 이상일 수 있다.
일부 구현예에서, 본원에 기술된 선택적 증착 공정의 선택도는 기판의 제1 표면 및/또는 제2 표면을 포함하는 재료에 의존할 수 있다. 예를 들어, 제1 표면이 패시베이션된 Cu 표면을 포함하고 제2 표면이 자연 산화물 또는 화학적 실리콘 디옥사이드 표면을 포함하는 일부 구현예에서, 관심층의 선택도는 약 8:1 초과 또는 약 15:1 초과일 수 있다. 일부 구현예에서, 제1 표면이 금속을 포함하고 제2 표면이 자연 산화물 또는 화학적 실리콘 디옥사이드 표면을 포함하는 일부 구현예에서, 선택도는 약 5:1 초과 또는 약 10:1 초과일 수 있다.
전도성 표면의 패시베이션 및 유전체 상의 선택적인 증착
도 3a 내지 도 3d는 제2 표면에 대해 제1 표면의 선택적 패시베이션 후 상기 패시베이션된 제1 표면에 대해 제2 표면 상으로 선택적 증착을 위한 첫 번째 구현예를 개략적으로 도시한다. 도시된 구현예에서, 제1 표면은 금속성 재료를 포함하고; 제2 표면은 유전체 재료를 포함하며, 제2 표면 상에 증착된 관심 재료는 유전체 재료를 포함한다. 따라서, 구현예는 선택적 유전체 상의 유전체 증착을 포함한다.
도 3a는 상이한 재료의 표면이 노출된 기판을 도시한다. 예를 들어, 제1 표면은 질화티타늄(TiN), 텅스텐(W), 코발트(Co), 구리(Cu) 또는 질화탄탈늄(TaN)과 같은 금속을 포함할 수 있다. 제2 표면은 low-k층(전형적으로 실리콘산화물 계열의 층), 위에 자연 산화물(또한 실리콘산화물 형태로)을 갖는 실리콘 표면, 하프늄산화물(HfOx), 지르코늄산화물(HfOx), 티타늄산화물(TiOx), 실리콘질화물(SiNx)과 같은 유전체를 포함할 수 있다.
도 3b는 제1 표면 위에 패시베이션층이 선택적으로 증착된 후 도 3a의 기판을 나타낸다. 예를 들어, 패시베이션층은 도 3a의 패터닝된 표면을 디클로로메탄(DCM)에 노출시킴으로써 형성될 수 있다. 소수성 또는 비반응성 말단부를 갖는 저분자를 사용하여 패시베이션층의 선택적인 형성을 하는 정보 및 실시예가 아래에 더 제공된다.
도 3c는 제1 표면 상의 패시베이션층에 대해 (본 실시예에서는 유전체 표면인) 제2 표면 상에 관심층(X)을 선택적으로 증착한 후의 도 3b의 기판을 나타낸다. 관심층(X)은 유전체 재료, 구체적으로는 지르코늄산화물, 하프늄산화물 또는 티타늄산화물과 같은 금속산화물 또는 질화물일 수 있다. 선택적인 유전체 증착용 전구체는 제한 없이 시클로펜타디에닐, 알킬, 아미드 및/또는 알콕시 리간드를 포함하는 금속 전구체, 및 H2O, O2, NH3 또는 O3과 같은 산소 또는 질소 전구체를 포함한다. 일부 유형의 패시베이션 층에 대해 선택성을 돕기 위해 소수성 전구체를 사용하는 기상 증착 기술에 의해 이러한 금속 산화물층을 선택적으로 증착하는 방법이 미국 특허 공개 제 2017/0323776 호에 개시되어 있으며, 이의 전체 개시는 모든 목적을 위해 참고 문헌으로 여기에 인용된다. 금속 산화물 및 기타 관심층의 선택적 증착에 대한 추가적인 정보 및 실시예는 아래에 제공된다.
제1 표면 위의 패시베이션층에 증착된 임의의 X 재료는 에치 백 공정에 의해 제거될 수 있다. 관심층이 제2 표면 상에 선택적으로 증착되어 있기 때문에, 패시베이션 표면에 남아 있는 임의의 X 재료는 금속성 표면 상에 형성된 패시베이션층보다 더 얇을 것이다. 따라서, 에치 백 공정은 유전체 표면 위로부터 관심층 모두를 제거하지 않으면서 패시베이션층 위의 X 재료 모두를 제거하도록 제어될 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면 증착 및 식각의 각 사이클마다 유전체 상의 X 재료의 두께가 증가할 수 있다. 대안으로, 패시베이션층 상에 형성된 임의의 X 재료는 도 3d에 도시 된 바와 같이, 리프트-오프(lift-off) 공정에서 패시베이션 층의 후속 제거 동안 제거될 수 있다. 당업계에 공지된 바와 같이, 리프트-오프 단계는 하부 재료를 제거하는 언더커팅에 의해 위에 놓인 재료를 제거한다. 짧은 선택적 증착 공정에서 패시베이션층 상에 형성된 임의의 X 재료는 비연속적인 경향이 있어서 제거될 하부 재료에 에천트가 접근되도록 허용한다. 리프트-오프 식각은 패시베이션층 표면으로부터 원하지 않는 X 재료 모두를 제거하기 위해서 패시베이션층을 완전히 제거할 필요는 없으므로, 직접 식각이나 리프트-오프 방법 중 하나가 주기적인 선택적 증착 및 제거에서 패시베이션층 표면으로부터 X 재료를 제거하는 데 사용될 수 있다.
도 3d는 제1 표면으로부터 패시베이션층이 제거된 후의 도 3c의 기판을 도시한다. 일부 구현예에서, 식각 공정은 기판을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 패시베이션층은 산소 원자, 산소 래디컬, 산소 플라즈마 또는 이들의 조합을 포함하는 플라즈마에 의해 제거될 수 있다. 일부 구현예에서, 플라즈마는 수소 원자, 수소 래디칼, 수소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 희귀 가스종, 예를 들어 Ar 종이나 He 종을 포함할 수도 있다. 일부 구현예에서 플라즈마는 본질적으로 희귀 가스종으로 구성될 수 있다. 일부 경우에, 플라즈마는 다른 종, 예를 들어 질소 원자, 질소 래디컬, 질소 플라즈마, 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 에칭 공정은 예를 들어 O3와 같은 산소를 포함하는 에천트에 기판을 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 기판은 약 30℃ 내지 약 500℃, 바람직하게는 약 100℃ 내지 약 400℃의 온도에서 에천트에 노출될 수 있다. 일부 구현예에서, 에천트는 하나의 연속적 펄스로 공급될 수 있거나, 다수 개의 더 짧은 펄스로 공급될 수 있다. 전술한 바와 같이 패시베이션층의 제거는 주기적인 선택적 증착 및 제거에 있어서, 패시베이션층을 완전히 제거하거나 패시베이션층을 부분적으로 제거하는 어떤 경우에서든, 패시베이션층으로부터 임의의 잔여 X 재료를 리프트-오프할 수도 있다.
열처리 또는 화학적 처리와 같은 추가적인 처리가 이전 진행 단계들의 이전, 이후, 또는 그 사이에 수행될 수 있다. 예를 들어, 처리는 표면을 개질할 있거나, 공정의 다양한 단계에서 노출된 금속, 실리콘산화물, 패시베이션 및 금속 산화물 표면의 일부를 제거할 수 있다. 일부 구현예에서, 기판은 선택적 증착 공정의 시작 또는 이전에 전처리되거나 세척될 수 있다. 일부 구현예에서, 기판은 선택적 증착 공정의 시작 또는 이전에 플라즈마 세척 공정을 거칠 수 있다. 일부 구현예에서, 플라즈마 세척 공정은 이온 충격을 포함할 수 없거나 상대적으로 적은 양의 이온 충격을 포함할 수 있다. 예를 들어, 일부 구현예에서 기판 표면은 선택적 증착 공정의 시작 또는 이전에 플라즈마, 래디컬, 여기종, 및/또는 원자종에 노출될 수 있다. 일부 구현예에서, 기판 표면은 선택적 증착 공정의 시작 또는 이전에 수소 플라즈마, 래디컬, 또는 원자종에 노출될 수 있다. 일부 구현예에서, 전처리 또는 세척 공정은 선택적 증착 공정과 동일한 반응 챔버에서 수행될 수 있지만, 일부 구현예에서 전처리 또는 세척 공정은 별도의 반응 챔버에서 수행될 수 있다.
일부 구현예에서, 표면의 패시베이션은 약 1일 이내, 약 12시간 이내, 약 6시간 이내, 약 2시간 이내 또는 약 1시간 이내 또는 임의의 이들 값 사이의 임의의 범위에서 수행된다.
패시베이션 전구체
위에서 언급한 바와 같이 SAM은 증착 방지를 위해 치밀하고 효과적인 장애물을 형성하는데 긴 시간(수일)이 걸릴 수 있다. 대형 분자는 표면 상의 모든 반응성 부위에 대한 접근을 방해하여 후속 기상 증착에 대해 비활성화될 수 있다.
따라서, 본 개시는 전형적인 SAM 전구체보다는 작은 패시베이션제를 사용한다. 소수성 또는 비활성 말단부를 갖는 더 작은 패시베이션제는 예를 들어, CH2X2, CH3X(X는 할로겐)를 포함하며 다른 예는 아래에 나열된다. 더 작은 분자는 더 빨리 관심 표면을 패시베이션할 수 있다.
일부 구현예에서, 패시베이션 전구체는 조성식 CnH2n+1X, CnH2nX2, R1R2CX, 또는 R1R2CX의 할로알칸을 포함한다. 여기서,
R1및 R2는 수소 또는 알킬기일 수 있으며,
X는 염소(Cl), 불소(F), 브롬(Br) 또는 요오드(I)와 같은 할로겐일 수 있다.
전술한 할로알칸 및 다른 패시베이션 전구체는 최소 노출 시간으로 치밀한 패시베이션에 특히 유리하며, n < 5 인 작은 분자이다. 많은 그러한 분자는 패시베이션될 표면으로의 기상 전달을 위해 실온에서조차도 충분히 높은 증기압을 가질 수 있어서 패시베이션제 공급원은 가열될 필요가 없다. 버블러 및 기화기와 같은 가열된 기체 공급원은 열분해의 일부 양을 초래하여 시스템의 효율을 저하시킬 수 있다. 더 긴 사슬의 유기 분자(예, n ≥ 5)가 일부 구현예에서 또한 사용될 수 있지만, 분자 크기가 증가함에 따라, 전달을 위한 충분한 증기압을 얻기 위해서 가열이 보다 바람직하게 되고, 치밀한 핀홀이 없는 패시베이션층이 달성하기 어려워지거나 또는 긴 노출 시간을 수반한다.
일부 구현예에서, 소형 패시베이션제는 330 g/mol 이하, 250 g/mol 이하, 170 g/mol 이하, 120 g/mol 이하 또는 60 g/mol 또는 임의의 이들 값의 사이의 임의의 범위의 분자량을 가질 수 있다. 예를 들어, 일부 구현예에서 250 g/mol 이하의 분자량을 갖는 소형 패시베이션제는 트리메틸실릴티올, 트리클로로스탄난, 디클로로스탄난, 클로로스탄난, 디메틸실란티올, 및 메틸실란티올일 수 있다. 일부 구현예에서, 120 g/mol 이하의 분자량을 갖는 소형 패시베이션제의 다른 예는 디클로로메탄(DCM) 및 트리플루오르메틸티올을 포함한다. 일부 구현예에서, 60 g/mol 이하의 분자량을 갖는 소형 패시베이션제의 다른 예는 클로로메탄, 메탄티올, 메탄니트릴, 메틸시아나이트 및 메탄이소니트릴을 포함한다. 일부 구현예에서, 330 g/mol 이하의 분자량을 갖는 소형 패시베이션제의 다른 예는 헥사메틸디틴 및 클로로펜타메틸디틴을 포함한다. 일부 구현예에서 소형 패시베이션제는 5 이하, 7 이하, 10 이하, 15 이하, 20 이하 또는 25 이하 또는 임의의 이들 값 사이의 임의의 범위의 (작은 패시베이션제의 한 분자 내) 총 원자 수를 가질 수 있다.
일부 구현예에서, 소형 패시베이션제는 유기 불포화 알칸일 수 있다. 일부 구현예에서, 유기 알칸은 5개 이하의 탄소 원자, 4개 이하의 탄소 원자, 3개 이하의 탄소 원자, 2개 이하의 탄소 원자 또는 1개 이하의 탄소 원자 또는 임의의 이들 값 사이의 임의의 범위를 함유할 수 있다. 예를 들어, 일부 구현예에서 5개 이하의 탄소 원자를 함유한 유기 알칸은 프로판디엔, 부타디엔 및 프로펜을 포함한다. 또 다른 예로 일부 구현예에서 5개 이하의 탄소 원자를 함유한 할로알칸은 디클로로메탄(DCM) 및 클로로메탄을 포함한다.
일부 구현예에서 패시베이션제는 조성식 SiH2X2, SiH3X, GeH2X2 또는 GeH3X의 또는 일반 조성식 GeRaXb, SiRaXb, GeRaHcXb 또는 SiRaHcXb의 할로실란 또는 할로저메인을 포함한다. 여기서,
R은 수소 또는 알킬기일 수 있다.
X는 Cl, F, Br, 또는 I와 같은 할로겐일 수 있다. 그리고,
a, b 및 c는 각각 개별적으로 0, 1, 2, 3, 또는 4로부터 선택되는 화학양론적 정수값이다.
일부 구현예에서, 패시베이션제는 조성식 SnH3X, SnH2X2 또는 SnH3X의 또는 일반 조성식 SnRaXb, SnRaHcXb 또는 Sn2RaHcXb의 할로스탄난을 포함한다. 여기서,
R은 수소 또는 알킬기일 수 있다.
X는 Cl, F, Br, 또는 I와 같은 할로겐일 수 있다. 그리고,
a, b 및 c는 각각 개별적으로 0, 1, 2, 3, 4, 5, 6, 7, 또는 8로부터 선택되는 화학양론적 정수값이다.
일부 구현예에서, 패시베이션제는 조성식 RZ, CF2HZ, CFH2Z, (CH3)3SiZ, CF3Z, (CH3)3CZ, SnHcRaZ, 또는 CHcRaZ의 화합물을 포함한다. 여기서,
R은 수소 또는 알킬기일 수 있다.
Z는 작용기일 수 있다. 일부 구현예에서, Z는 알킬, 아세테이트, 니트릴, 이소니트릴, 이소시아네이트, 아민, 티올, 술폰닐클로라이드, 아실클로라이드 또는 알콕시기이다. 예를 들어, 일부 구현예에서 Z는 메톡시, 에톡시, 메탄술폰닐클로라이드, 푸마릴클로라이드, 트리플루오르에탄술폰닐클로라이드, 이소부탄술포닐클로라이드, 트리플루오르메틸티올, 트리메틸실릴아자이드, 트리메틸실릴아세테이트 또는 메틸일 수 있고,
a 및 c는 각각 개별적으로 0, 1, 2, 3, 또는 4로부터 선택되는 화학양론적 정수값이다.
일부 구현예에서, 패시베이션제는 조성식 R1R2SiX 또는 R1R2R3SiX의 화합물을 포함하며, 여기서
R1, R2 및 R3는 수소 또는 알킬기일 수 있다.
X는 Cl, F, Br, 또는 I와 같은 할로겐일 수 있다.
전술한 화합물은 1, 2 디클로로에탄과 같이 분자당 2개의 할로겐 원자를 초과하여 포함할 수 있고, F 또는 알콕시기와 같은 작용기를 가질 수 있다.
일부 구현예에서, 소형 패시베이션제는 금속 표면에 배위 결합할 수 있다. 일부 구현예에서, 소형 패시베이션제는 작용화된 유전체 표면과 반응할 수 있다. 일부 구현예에서, 소형 패시베이션제는 알킬기를 포함할 수 있다. 일부 구현예에서, 알킬기는 10, 7, 5, 4, 3개 이하의 탄소 원자 또는 임의의 이들 수의 임의의 범위일 수 있다.
공정 흐름
도 4 내지 도 7은 패시베이션 및 선택적인 증착에 대한 공정 흐름의 예를 제공한다.
처음에 도 4를 참조하면, 공정(400)은 소형 분자 패시베이션제로 전도성 표면을 패시베이션하는 단계(410)를 포함한다. 상기 언급한 바와 같이, 소형은 전형적으로 높은 자기 정렬 및 안정성을 제공하는 상대적으로 긴 (> 5) 탄소 사슬을 포함하는 종래의 SAM 전구체와 비교된다. 패시베이션제의 적절한 부류 및 예가 상기에 제공되며, 전형적으로 패시베이션되는 전도성 표면으로부터 멀어지는 방향으로 대향하는 소수성 또는 그렇지 않은 비반응성 종결부를 포함한다. 예를 들어, 전도성 표면은 리액터의 금속성 표면 또는 부분적으로 제조된 집적 회로 상의 전도체를 포함할 수 있다. 패시베이션은 예를 들어, 유전체 물질에 부착하지 않음으로써 선택적일 수 있다.
패시베이션 하는 단계(410) 이후, 재료는 패시베이션된 전도성 표면에 대해 유전체 표면 상에 선택적으로 증착된다(420). 예로 패시베이션(410)에 의해 공정이 방해되거나 심지어 비활성화될 수 있는 CVD 또는 ALD와 같이 표면에 민감한 기상 증착 공정을 포함한다. 선택도는 절대적일 수 있지만, 특히 패시베이션층 위의 임의의 증착을 언더커팅하고 제거하는, 패시베이션층의 후속적인 제거를 고려할 때, 절대적인 것보다 훨씬 적을 수 있다. 유전체는 리액터 표면 패시베이션 후에 리액터 안으로 로딩된 기판 상에 있을 수 있거나, 동일한 기판 상에 패시베이션된 전도체에 인접한 유전체 표면 상에 있을 수 있다.
패시베이션(410)이 선택적 증착(420) 유지 동안에도 충분할 수도 있다. 그러나, 패시베이션(410)은 특히 긴 증착 공정, 특히 반응성 증착 반응물 또는 특히 가혹한 증착 조건(예, 420ºC 초과 및 특히 450ºC 초과와 같은 고온)을 견뎌낼 수 없다. 그러한 환경에서 주기적인 방식으로 패시베이션하는 단계(410) 및 선택적 증착 단계(420)을 반복(430)하는 것이 바람직할 수 있다.
도 5를 참조하면, 보다 특정한 예시적인 공정(500)은 초기에 금속성 표면을 패시베이션(510)한 후 ALD 시퀀스(520)를 포함한다. 도시된 시퀀스는 금속 전구체를 공급하는 단계(525), 관심 표면으로부터 임의의 과잉 전구체 및 부산물을 제거하는 단계(530), 유전체 물질을 형성하기 위해 제2 전구체를 공급하는 단계(535) 및 관심 표면으로부터 임의의 과잉 전구체 및 부산물을 제거하는 단계(540)를 포함한다. ALD 시퀀스(520)는 유전체 물질을 일반적으로 사이클당 약 단층 미만으로 패시베이션된 금속성 표면에 대해 유전체 표면 상에 선택 증착할 수 있다. 도 4의 반복(430)과 관련하여 언급된 바와 같이, 다수의 사이클 후에 평균적으로 패시베이션이 새로워져야 하는 것이 가능하다. 결정 박스(550)에 의해 도 5에 도시된 바와 같이, 추가적인 패시베이션이 바람직한지가 결정된다. 그러하다면, 공정은 금속성 표면을 패시베이션(510)하는 것으로 시작하는 공정을 순환하거나 반복한다(555). 그러하지 않다면, 증착이 완료된 것으로 결정 박스(560)에서 결정된다. 그러하지 않다면, ALD 사이클(520)이 반복될 수 있다.
도 6은 기판 일부를 패시베이션하고 기판의 다른 부분 상에 선택적으로 증착하기 위한 공정(600)의 특별한 예를 도시한다. 특히 공정(600)은 기판을 공정 챔버 속으로 로딩하는 단계(610)를 포함할 수 있다. 기판의 금속성 표면은 공정 챔버에서 패시베이션된다(620). 후속으로 선택적인 증착(630)이 패시베이션된 금속성 표면에 대해 기판의 유전체 표면 상에 수행된다. 선택적 증착(630)은 CVD 또는 ALD와 같은 표면에 민감한 공정일 수 있으며, 예를 들어 패시베이션된 금속성 표면 상에 증착을 최소화하거나 전혀 갖지 않으면서 유전체 표면 상에 유전체 금속 산화물을 증착할 수 있다. 선택적 증착(630)은 패시베이션(620)과 동일한 챔버에서 수행될 수 있고, 동일한 클러스터 툴의 상이한 챔버에서 수행될 수 있거나(이하의 실험의 설명 참조), 상이한 툴에서 모두 수행될 수 있다. 도시되지는 않으나, 공정은 선택도를 향상시키거나 가혹한 조건들이 패시베이션을 마모시킨 후에 패시베이션을 새롭게 하기 위해서 주기적으로 패시베이션(620)하고, 선택적으로 증착한다(630).
도 7은 리액터 표면을 패시베이션하고 리액터 표면에 대해 기판 상에 선택적으로 증착하기 위한 공정(700)의 특정 예를 도시한다. 특히, 공정(600)은 패시베이션제를 리액터 표면에 공급하는 것과 같이 리액터 표면을 패시베이션하는(710) 단계를 포함한다. 리액터 표면은 기판이 공정 처리되는 반응 챔버의 내부 표면을 포함할 수 있고, 또한 주입구 및 배출구 표면과 같이 공정 처리용 반응물에 노출되는 인접 표면을 포함할 수 있다. 기판은 그 다음 리액터의 반응 챔버 속으로 로딩(720)되고, 리액터의 패시베이션된 금속성 표면에 대해 적어도 일부 기판의 표면 상에 선택적인 증착(730)이 수행된다. 선택적 증착(730)은 CVD 또는 ALD와 같은 표면에 민감한 공정일 수 있으며, 예를 들어 리액터의 패시베이션된 금속성 표면 상에 증착을 최소화하거나 전혀 갖지 않으면서 기판 상의 유전체 표면 상에 유전체 금속 산화물을 증착할 수 있다.
실험 결과
도 8은 소형 분자 패시베이션제로 다양한 물질을 패시베이션하고 이후 유전체의 후속적인 ALD에 대한 효과의 실험 결과를 도시한다. 특히, 클러스터 툴의 공정 챔버 내, 420ºC에서 다양한 물질(TiN, 실리콘 상의 자연 산화물, ZrOx)이 패시베이션제로서 디클로로메탄(DCM)의 다수 펄스(200 또는 500)에 노출되었다. 후속으로, 기판은 진공을 파괴하지 않고 동일 클러스터 툴의 ALD 공정 챔버 내 150 ℃에서 ZrDO4 및 물에 기판을 번갈아 노출시키는 것을 포함하여, ZrOx의 ALD 공정의 다수 사이클(25 또는 50)을 각각 수행 하였다. DCM 패시베이션제의 500 사이클에 대한 노출에도 불구하고, 어떤 유전체 물질도 후속 ZrO2 ALD에 대해 패시베이션되지 않았다. 패시베이션제에 대한 노출 없이("패시베이션제 미사용"("No passivation")), ZrO2는 XPS 분석에 의해 측정된 지르코늄 20 원자백분율(20 at.% Zr) 위에 보여지는 대로, TiN 상에 쉽게 증착되며, ZrO2 ALD 공정이 패시베이션되지 않은 TiN 상에 증착할 것을 의미한다. 그러나, 50 사이클의 ZrO2 ALD 이후에조차, 패시베이션된 TiN은 XPS 분석에 의해 측정된 단지 지르코늄 3 원자백분율(3 at.% Zr)을 가졌다. 따라서, DCM 은 유전체 표면을 패시베이션하지 않고, 성공적으로 ZrO2 ALD에 대해 전도성 표면을 패시베이션하였다. 패시베이션과 증착의 추가적인 사이클이 더 좋은 선택도를 얻을 수 있을 것이라고 기대된다.
도 9는 상이한 형태로 동일한 실험 결과를 보여주며, 200 사이클의 DCM 노출이 ZrO2 ALD에 대해 TiN 기판을 성공적으로 패시베이션하였으나 500 사이클의 DCM 노출은 유전체(자연 산화물 및 ZrOx) 기판을 패시베이션하는 것을 실패한 것을 도시한다. 물과의 접촉각이 DCM 노출 전 및 후에 역시 측정되었고, TiN의 경우 약 25º에서 약 90º로 증가한 것으로 나타났다.
당업자에게 본 발명의 사상을 벗어나지 않고 본 발명에서 다양한 변형과 변경이 만들어질 수 있다는 것이 명백할 것이다. 따라서, 본 발명은 첨부된 청구 범위 또는 그 등가물의 범위 내에 있는 한, 본 발명의 수정 및 변형을 포함하는 것으로 의도된다.

Claims (20)

  1. 기상 코팅 방법으로서,
    기상 증착에 대해 전도성 표면을 패시베이션하는 단계(상기 전도성 표면을 기상 패시베이션제에 노출시켜 패시베이션된 전도성 표면을 형성하는 단계를 포함); 및
    상기 패시베이션된 전도성 표면 및 유전체 표면 모두를 기상 증착 반응물에 노출시킴으로써 상기 패시베이션된 전도성 표면에 대해 상기 유전체 표면 상에 선택적으로 층을 증착하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 패시베이션제는 5개의 탄소 원자보다 큰 탄소 사슬을 갖는 자기 조립 단층(SAM) 전구체보다 작은 분자를 포함하는 방법.
  3. 제2항에 있어서, 상기 패시베이션제는 할로겐 종결부를 포함하는 방법.
  4. 제2항에 있어서, 상기 패시베이션된 전도성 표면은 소수성 종결부 또는 상기 기상 증착 반응물의 선택적 증착에 불활성인 종결부를 포함하는 방법.
  5. 제1항에 있어서, 상기 패시베이션제는 하나 이상의 알킬 사슬을 갖는 화합물을 포함하되, 상기 알킬 사슬 각각은 5개 미만의 탄소 원자를 갖는 방법.
  6. 제1항에 있어서, 상기 패시베이션제는 330 g/mol 이하의 분자량을 갖는 화합물을 포함하는 방법.
  7. 제1항에 있어서, 상기 패시베이션제는 조성식 CnH2n+1X, CnH2nX2, R1R2CX 또는 R1R2CX의 할로알칸(R1및 R2는 각각 개별적으로 수소 또는 알킬기이고, X는 할로겐이며, n은 5 미만임)을 포함하는 방법.
  8. 제1항에 있어서, 상기 패시베이션제는 할로겐 함유 실란, 할로겐 함유 저메인 또는 조성식 SiH2X2, SiH3X, GeH2X2, 또는 GeH3X의 화합물(X는 할로겐)을 포함하는 방법.
  9. 제1항에 있어서, 상기 패시베이션제는 할로겐 함유 실란, 할로겐 함유 저메인, 할로겐 함유 스탄난 또는 조성식 GeRaXb, SiRaXb, GeRaHcXb, SiRaHcXb, SnRaXb, SnRaHcXb, Sn2RaHcXb, SiHcXRa의 화합물(각각의 R은 개별적으로 할로겐 또는 5개 미만의 탄소 원자를 갖는 알킬기이고, X는 할로겐이며, a, b 및 c는 각각 개별적으로 0, 1, 2, 3, 4, 5, 6, 7, 또는 8로부터 선택되는 화학양론적 정수값임)을 포함하는 방법.
  10. 제1항에 있어서, 상기 패시베이션제는 조성식 RZ, CF2HZ, CFH2Z, (CH3)3SiZ, CF3Z, (CH3)3CZ, SnHcRaZ, 또는 CHcRaZ의 화합물(각각의 R은 개별적으로 수소 또는 각각 5개 미만의 탄소 원자를 갖는 알킬기이고, Z는 알킬, 아세테이트, 니트릴, 이소니트릴, 이소시아네이트, 아민, 티올, 술폰닐클로라이드, 아실클로라이드 또는 알콕시기이며, a 및 c는 각각 개별적으로 0, 1, 2, 3, 또는 4로부터 선택되는 화학양론적 정수값임)을 포함하는 방법.
  11. 제1항에 있어서, 상기 패시베이션제는 조성식 R1R2SiX 또는 R1R2R3SiX의 화합물(R1, R2 및 R3은 각각 개별적으로 수소 또는 각각 5개 미만의 탄소 원자를 갖는 알킬기이고, X는 할로겐임)을 포함하는 방법.
  12. 제1항에 있어서, 상기 패시베이션제는 상기 전도성 표면을 노출하는 단계 도입 이전에 가열되지 않는 방법.
  13. 제1항에 있어서, 상기 도전성 표면은 금속성 표면을 포함하는 방법.
  14. 제1항에 있어서, 상기 유전체 표면은 산화물 또는 질화물 표면을 포함하는 방법.
  15. 제1항에 있어서, 상기 선택적 증착은 원자층 증착을 포함하는 방법.
  16. 제1항에 있어서, 상기 전도성 표면의 패시베이션은 상기 유전체 표면에 대해 상기 패시베이션된 전도성 표면을 선택적으로 형성하는 방법.
  17. 제16항에 있어서, 상기 패시베이션은 상기 유전체 표면 상에 차단용 패시베이션 없이 그리고, 상기 전도성 표면 상에 촉매제 없이, 상기 전도성 표면 상에 직접 형성되는 방법.
  18. 제1항에 있어서 상기 전도성 표면의 패시베이션은 약 1일 이내에 수행되는 방법.
  19. 기상 증착 방법으로서,
    기상 증착에 대해 전도성 표면을 패시베이션하는 단계(상기 전도성 표면을 기상 할라이드를 포함하는 패시베이션제에 노출시켜 패시베이션된 전도성 표면을 형성하는 단계를 포함); 및
    상기 패시베이션된 전도성 표면 및 유전체 표면 모두를 기상 증착 반응물에 노출시킴으로써 상기 패시베이션된 전도성 표면에 대해 상기 유전체 표면 상에 선택적으로 층을 증착하는 단계를 포함하는 방법.
  20. 제19항에 있어서, 상기 전도성 표면은 산소를 포함하는 방법.
KR1020180081921A 2017-07-14 2018-07-13 기상 증착 저항성 패시베이션 KR20190008153A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762532877P 2017-07-14 2017-07-14
US62/532,877 2017-07-14

Publications (1)

Publication Number Publication Date
KR20190008153A true KR20190008153A (ko) 2019-01-23

Family

ID=64999973

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180081921A KR20190008153A (ko) 2017-07-14 2018-07-13 기상 증착 저항성 패시베이션

Country Status (3)

Country Link
US (3) US10900120B2 (ko)
KR (1) KR20190008153A (ko)
TW (2) TWI772460B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200111103A (ko) * 2019-03-18 2020-09-28 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20200112686A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
WO2022104226A1 (en) * 2020-11-16 2022-05-19 Versum Materials Us, Llc Selective deposition of silicon and oxygen containing dielectric film on dielectrics

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
EP3619323A4 (en) 2017-05-05 2021-01-27 Quantum-si Incorporated SUBSTRATES WITH MODIFIED SURFACE REACTIVITY AND ANTIFOULING PROPERTIES IN BIOLOGICAL REACTIONS
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
KR102138149B1 (ko) * 2019-08-29 2020-07-27 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
KR20220079943A (ko) 2019-10-11 2022-06-14 퀀텀-에스아이 인코포레이티드 증기 상에서의 표면 개질
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US20230058258A1 (en) * 2019-12-27 2023-02-23 Versum Materials Us, Llc Method for depositing a film
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US11694903B2 (en) 2020-06-24 2023-07-04 Asm Ip Holding B.V. Area selective organic material removal
KR102254395B1 (ko) * 2020-07-17 2021-05-24 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
CN112071864B (zh) * 2020-09-10 2023-12-01 Tcl华星光电技术有限公司 一种阵列基板及其制作方法
US11621161B2 (en) * 2020-10-27 2023-04-04 Applied Materials, Inc. Selective deposition of a passivation film on a metal surface
US20220127717A1 (en) 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US20240047196A1 (en) * 2020-12-01 2024-02-08 Versum Materials Us, Llc Selective thermal atomic layer deposition
US20230002890A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Multiple surface and fluorinated blocking compounds
US11990369B2 (en) 2021-08-20 2024-05-21 Applied Materials, Inc. Selective patterning with molecular layer deposition
US20230154757A1 (en) * 2021-11-12 2023-05-18 International Business Machines Corporation Selective deposition on metals using porous low-k materials
JP7426978B2 (ja) * 2021-12-08 2024-02-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US20230340660A1 (en) * 2022-04-21 2023-10-26 Gelest, Inc. Area selective atomic layer deposition of metal oxide or dielectric layer on patterned substrate
WO2024091688A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Method of blocking dielectric surfaces using blocking molecules to enable selective epi deposition

Family Cites Families (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR101437250B1 (ko) 2002-11-15 2014-10-13 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR20100098380A (ko) 2007-11-06 2010-09-06 에이치시에프 파트너스, 엘.피. 원자 층 증착 공정
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8697234B2 (en) 2008-05-09 2014-04-15 Amf Gmbh Self-assembled monolayers and method of production
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US20120189868A1 (en) 2009-07-31 2012-07-26 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
KR101895398B1 (ko) 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
CN105308719B (zh) 2013-06-28 2019-07-26 英特尔公司 基于选择性外延生长的iii-v族材料的器件
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2922688C (en) 2013-09-20 2018-10-30 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6325669B2 (ja) 2013-12-19 2018-05-16 インテル・コーポレーション 半導体構造、集積回路構造、及びそれらの製造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI661072B (zh) * 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9932671B2 (en) 2014-03-27 2018-04-03 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
EP4358119A2 (en) 2016-03-03 2024-04-24 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
KR102375981B1 (ko) 2016-07-04 2022-03-18 삼성전자주식회사 반도체 장치 제조 방법 및 반도체 장치 제조 설비
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200111103A (ko) * 2019-03-18 2020-09-28 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20220032034A (ko) * 2019-03-18 2022-03-15 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치, 및 프로그램
KR20200112686A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
WO2022104226A1 (en) * 2020-11-16 2022-05-19 Versum Materials Us, Llc Selective deposition of silicon and oxygen containing dielectric film on dielectrics

Also Published As

Publication number Publication date
US11739422B2 (en) 2023-08-29
TWI772460B (zh) 2022-08-01
TWI819682B (zh) 2023-10-21
US11396701B2 (en) 2022-07-26
US20210115559A1 (en) 2021-04-22
US10900120B2 (en) 2021-01-26
US20220349059A1 (en) 2022-11-03
TW201908506A (zh) 2019-03-01
US20190017170A1 (en) 2019-01-17
TW202244296A (zh) 2022-11-16

Similar Documents

Publication Publication Date Title
US11739422B2 (en) Passivation against vapor deposition
JP7300032B2 (ja) 酸化物薄膜の堆積
KR101496644B1 (ko) 증착으로부터 반응성 부위의 비활성화
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
KR20230095898A (ko) 소수성 전구체들을 사용한 선택적 퇴적
JP2020172704A (ja) 金属表面上の金属酸化物の選択的堆積
KR20030084110A (ko) Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR20210122693A (ko) 금속 표면에 대해 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착
KR20210122684A (ko) 상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착
CN111560598A (zh) 氧化物和氮化物的原子层沉积
KR20230062781A (ko) 열 및 플라즈마 강화 방법을 사용한 선택적 증착
KR20230062397A (ko) 선택적 열 증착 방법
TWI838513B (zh) 金屬氧化物在金屬表面上之選擇性沉積
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
KR20240071325A (ko) 실리콘과 질소를 포함하는 재료의 선택적 증착
KR20240108268A (ko) 유전체 표면에 대한 금속 표면 상에 유전체 층을 선택적으로 형성하는 방법
CN118280833A (zh) 相对于电介质表面在金属表面上选择性形成介电层方法
KR20230047002A (ko) 유기 재료의 선택적 증착
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)