KR20030084110A - Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 - Google Patents

Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 Download PDF

Info

Publication number
KR20030084110A
KR20030084110A KR1020020022618A KR20020022618A KR20030084110A KR 20030084110 A KR20030084110 A KR 20030084110A KR 1020020022618 A KR1020020022618 A KR 1020020022618A KR 20020022618 A KR20020022618 A KR 20020022618A KR 20030084110 A KR20030084110 A KR 20030084110A
Authority
KR
South Korea
Prior art keywords
silicon oxide
catalyst
oxide film
temperature
exposing
Prior art date
Application number
KR1020020022618A
Other languages
English (en)
Other versions
KR100468729B1 (ko
Inventor
조병하
김용일
신철호
이원형
김정수
심상태
Original Assignee
주식회사 무한
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 무한 filed Critical 주식회사 무한
Priority to KR10-2002-0022618A priority Critical patent/KR100468729B1/ko
Priority to US10/422,252 priority patent/US7077904B2/en
Publication of KR20030084110A publication Critical patent/KR20030084110A/ko
Application granted granted Critical
Publication of KR100468729B1 publication Critical patent/KR100468729B1/ko
Priority to US11/305,686 priority patent/US20060090694A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/18Quartz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 원자층 증착 (Atomic Layer Deposition) 방법으로 기판에 실리콘산화막을 형성하는 방법에 관한 것으로, 본 발명에 따르면 종래의 원자층 증착 방법에 SiCl4소스를 사용한 것과 달리 Si2Cl6소스를 이용함으로써 저온에서 높은 증착 속도로 실리콘산화막을 형성시킬 수 있으며, 불산 기체 또는 불산 기체와 수증기의 혼합 기체를 사용하여 상기 공정을 수행한 원자층 증착 장치를 저온에서 효과적으로 인시튜 클리닝 (in situcleaning)할 수 있다.

Description

HCD 소스를 이용하여 실리콘 산화막을 원자층 증착하는 방법{Method for Atomic Layer Deposition of silicon oxide film using HCD source}
본 발명은 원자층 증착 (Atomic Layer Deposition; ALD) 방법으로 기판에 실리콘산화막을 형성하는 방법에 관한 것으로, 보다 상세하게는 Si2Cl6(hexachloro disilane; 이하 "HCD"라 약칭함)와 H2O 소스, 그리고 촉매를 사용하여 저온에서 높은 증착 속도로 실리콘산화막을 형성시키는 방법에 관한 것이다.
일반적으로 실리콘산화막은 실리콘과 가장 좋은 계면을 형성하고, 우수한 절연 성질이 있기 때문에 반도체에서 가장 많이 사용되어지는 박막중 하나이다. 실리콘산화막을 증착시키기 위한 기존의 방법으로는 (ⅰ) 1000℃ 이상의 조건에서 실리콘을 산화시켜서 만드는 방법과, (ⅱ) 600∼800℃에서 두 가지 이상의 소스를 공급함으로써 박막을 증착시키는 화학 기상 증착법 (Chemical Vapor Deposition; CVD)이 있다. 이러한 방법들은 증착 온도가 매우 높아서 계면에서 확산이 일어나게 되고, 이러한 확산은 소자의 전기적 성질을 열화시킨다.
한편, 현재 수∼수십 Giga-byte 이상의 메모리 용량을 가지는 반도체 소자가 요구됨에 따라, 반도체 소자에 사용되는 박막은 원자층 단위로 제어되면서, 단차 피복성이 우수한 특징을 가져야 하며, 또한 계면에서 확산과 산화가 일어나지 않게 하기 위해서 증착온도가 낮아야 한다. 이러한 요구를 충족시키기 위하여 (ⅲ) 원자층 형성 증착 방법이 개발되었다.
기존에, 실리콘산화막을 원자층 형성 공정 방법으로 증착하기 위해서는 600K 이상의 온도에서 SiCl4와 H2O 소스를 사용하는데, 하기와 같은 증착 과정을 거치게 된다.
우선, 히드록실기 (-OH)가 기판 표면에 흡착되어 있어야 한다. 먼저 SiCl4소스가 공급되고, 공급된 SiCl4가 표면의 히드록실기와 반응하여 -SiCl3가 흡착되고, HCl 부산물이 발생된다 (반응식 1 참조). SiCl4와 히드록실기의 반응이 포화 (saturation)되면, 과잉의 SiCl4는 더 이상 반응을 하지 않게 되고 (self-limiting condition; 자기 제한적 조건), 표면에는 -SiCl3표면 화학종이 부착되어 있는 상태가 된다.
[반응식 1]
-OH*+ SiCl4→ -O-Si-Cl* 3+ HCl
상기 반응이 이루어지고 나면, H2O 소스가 반응기로 공급된다. 공급된 H2O 소스는 -SiCl3표면 화학종과 반응하여 히드록실기가 흡착되고, HCl 부산물이 발생한다 (반응식 2 참조). H2O와 -SiCl3표면 화학종과의 반응이 포화를 이루면, 과잉의 H2O는 더 이상 반응을 하지 않게 되고 (자기 제한적 조건), 표면에는 히드록실기가 부착된 상태가 된다.
[반응식 2]
-O-Si-Cl*+ H2O → -O-Si-OH*+ HCl
상기 과정을 반복하여 실리콘 산화막을 증착하게 되는데, 상기 반응은 600K이상의 온도에서 이루어지고, 증착에 필요한 시간이 길며, 소스 량이 많이 필요하다는 단점이 있다.
상기 방법의 단점을 개선하기 위해서, 촉매를 사용하여 200℃ 이하의 저온에서 적은 량의 소스를 사용하여 실리콘산화막을 증착하는 방법이 개발되었다 (USP 6,090,442). 이 방법에서는 200℃ 이하의 저온에서도 증착이 가능하도록 촉매를 사용한 점에 특징이 있다. 그러나, 200℃ 이하에서 상기 방법으로 실리콘산화막을 증착시킬 경우, 하기와 같은 문제점이 발생된다.
첫째, 상온에서부터 50℃까지의 증착 온도에서 실리콘산화막을 증착시킬 경우, 반응후 발생되는 부산물과 미반응의 액체 소스 즉, HCD와 H2O는 반응기 내부의 낮은 온도 때문에 잘 제거되지 않고, 이것은 박막을 증착한 후에 박막 내부에 입자 (particle)로 존재하게 되는데, 이는 박막의 물성을 열화시키는 역할을 한다.
둘째, 50℃ 이상의 증착 온도에서 실리콘산화막을 증착시킬 경우, 반응후 발생되는 부산물과 미반응의 액체 소스 (HCD 및 H2O)는 반응기 외부로 잘 제거되어질 수 있으나, 박막의 증착 속도가 현저히 낮은 문제점을 가지고 있다. 즉, SiCl4, H2O 및 촉매를 사용하여 50℃ 이상에서 증착한 경우, 증착 속도가 사이클당 1.0Å 이하의 낮은 증착 속도를 나타낸다 (도 1 참조). 그 결과, 낮은 증착 속도에 의해서 소자의 생산량을 감소시키는 큰 단점을 가지고 있다.
한편, 종래의 상기 원자층 형성 증착 방법으로 실리콘산화막을 증착할 경우, 반응기 내의 챔버에서 다수의 반응 기체들에 의한 공정이 이루어지는 동안 근본적으로 반응기 내에 잔류물이 발생되고, 이러한 잔류물은 기판 이외의 원하지 않는 곳, 즉 증착 장비의 히터, 디스크, 반응기 외벽, 반응기 상부면 등에 증착된다. 원하지 않는 곳에 증착된 잔류물을 제거하기 위하여, 기존에는 원자층 형성 공정 반응기를 NF3기체를 사용하여 열에 의한 방법 또는 플라즈마 방법으로 인시튜 클리닝 (in situcleaning)하였는데, 이는 400℃ 이상의 높은 공정 온도에서 실리콘산화막을 증착하는 경우에 주로 사용한다.
따라서, 저온, 특히 400℃ 이하에서 수행되는 원자층 형성 증착 방법의 경우, 종래의 클리닝 공정에 의해서는 인시튜 클리닝이 불가능한 문제가 있다.
상기와 같은 문제점을 해결하기 위하여 본 발명자들은, 저온에서 증착 속도를 향상시킬 수 있는 소스를 사용하여 원자층 증착 방법으로 기판 상에 실리콘산화막을 형성하는 방법을 개발하여 본 발명을 완성하였다.
본 발명의 목적은 원자층 증착 방법을 이용하여, 저온에서 비교적 높은 증착 속도로 실리콘산화막을 형성하는 방법을 제공하는 것이다.
도 1은 SiCl4소스를 사용한 ALD 공정시 증착 온도에 따른 증착 속도를 나타낸 그래프이고,
도 2는 Si2Cl6소스를 사용하여 실리콘산화막을 증착시키는 메커니즘을 나타낸 개요도이며,
도 3은 SiCl4및 Si2Cl6의 구조를 나타낸 것이고,
도 4는 본 발명에 따라 Si2Cl6소스를 사용한 ALD 공정시 Si2Cl6소스량에 따른 증착 속도를 나타낸 그래프이며,
도 5는 본 발명에 따라 Si2Cl6소스를 사용한 ALD 공정시 증착 온도에 따른 증착 속도를 나타낸 그래프이다.
상기 목적을 달성하기 위하여 본 발명에서는, 실리콘산화막을 형성시킬 때기존의 SiCl4소스 대신에 HCD 소스를 사용하여 증착 속도를 높인 원자층 증착 방법을 제공한다.
본 발명에서는 우선, HCD 소스를 사용하여 원자층 증착 방법으로 기판에 실리콘 산화막을 형성하는 방법을 제공하는데, 이 과정은 구체적으로,
(a) 표면에 -OH기를 갖는 반도체 기판을 -H기를 활성화시키는 제1 촉매에 노출시키는 단계와,
(b) 상기 결과물 표면을 Si2Cl6에 노출시켜 하기 반응식 3의 반응을 수행하는 단계와,
(c) 상기 결과물 표면을 -Cl기를 활성화시키는 제2 촉매에 노출시키는 단계와,
(d) 상기 결과물 표면을 H2O에 노출시켜 하기 반응식 4의 반응을 수행하는 단계를 포함한다.
[반응식 3]
-OH*+ Si2Cl6→ -O-Si2Cl5/ -O-Si2Cl4+ HCl
[반응식 4]
-O-Si-Cl*+ H2O → -O-Si-OH + HCl
상기 과정을 도 2를 참고로 하여 보다 상세히 설명하기로 한다.
본 발명에서 사용되는 기판은 히드록실기가 표면에 흡착되어 있어야 하며,이러한 히드록실기가 흡착되어 있는 표면에 HCD 기체와 촉매를 공급한다. 공급된 촉매는 기판 표면에 흡착되어 있는 히드록실기를 활성화시키는 역할을 한다. 활성화된 히드록실기는 HCD 소스와 반응하는데, 이때 부산물이 발생하면서, 기판 표면에는 -Si2Cl4또는 -Si2Cl5가 흡착된다. 활성화된 표면 흡착물은 다음 단계로 공급되는 H2O 소스와 반응하여 부산물로 HCl이 발생되고, 표면에 히드록실기가 흡착된다. H2O와 활성화된 표면 흡착물과의 반응이 포화되면 더 이상의 반응은 이루어지지 않는다 (자기 제한적 반응).
한편, 본 발명에서 사용되는 HCD 소스와 H2O 소스는 교번적으로 반응기와 공급되어져야 하며, 두 소스가 서로 반응기에서 교차되어서는 안 된다. 따라서, 소스 공급 단계마다 더 이상의 반응이 이루어지지 않으면, 잔류하는 소스와 부산물을 반응기 외부로 제거하기 위하여, 반응기 내의 압력을 1Torr 이하가 되도록 펌핑하거나, 불활성 기체를 이용하여 퍼지하거나, 이와 같은 펌핑과 퍼지를 동시에 수행한다.
상기와 같은 과정을 한 사이클이라 하는데, 사이클을 반복함에 따라 박막이 다층으로 증착된다. 즉, 상기 (a)∼(d) 단계를 반복 수행함으로써 실리콘산화막을 원하는 두께로 증착시킬 수 있다.
상기 방법으로 실리콘산화막을 증착할 경우 높은 증착률을 나타내는 것은 SiCl4와 HCD의 물질 구조의 차이 때문이다 (도 3 참조). SiCl4를 사용하여 증착할경우, 기판 표면에 -SiCl3가 흡착되는 반면, HCD를 사용하여 증착할 경우, 기판 표면에 -Si2Cl4또는 -Si2Cl5가 흡착된다. 즉, SiCl4를 사용할 경우 표면에 Si 원자 한 개가 흡착되는 반면, HCD를 사용할 경우, 표면에 Si 원자 두 개가 흡착된다. 그 결과, HCD 소스를 사용하는 경우가 SiCl4를 사용하여 실리콘산화막을 증착하는 경우보다 2배정도 높은 증착률을 나타내게 되는 것이다.
상기와 같은 ALD 공정은 50∼200℃, 바람직하게는 50∼140℃의 저온에서 수행되는데, 저온에서의 효과적인 증착을 위해 촉매로서 피리딘, 트리메틸아민 또는 트리에틸아민 같은 루이스 염기가 사용된다.
한편, 본 발명의 원자층 증착 공정을 50℃ 이상에서 수행하는 것은 하기와 같은 이유에서이다. 상온에서 50℃까지의 증착 온도에서 실리콘산화막을 증착시킬 경우, 다공질의 (porous) 박막이 증착되어, 박막의 물성이 나빠질 뿐만 아니라, 반응후 발생되는 부산물과 미반응의 액체 소스는 반응기 내부의 낮은 온도 때문에 잘 제거되지 않아, 증착된 박막 내부에 입자로 존재하게 되어 박막의 물성이 열화되기 때문이다
또한, 본 발명의 ALD 공정을 수행하는 반응기의 압력은 1mTorr∼10Torr 정도를 유지하는 것이 바람직하다.
한편, 증착이 완료된 반응기는 불산 (HF) 기체 또는 불산과 수증기의 혼합 기체를 클리닝 기체로 사용함으로써 저온, 특히 실리콘산화막의 증착 온도와 유사한 온도에서 효과적인 인시튜 클리닝이 가능하다.
상기의 원자층 형성 공정 장치에서 불산 기체 또는 불산과 수증기의 혼합 기체를 사용하여 인시튜 클리닝이 가능한 이유는 다음과 같다. 상기의 원자층 형성 공정 장치를 이용하여 촉매를 사용하여 저온에서 증착된 실리콘 산화막은 불산 기체가 공급됨에 따라, 증착된 실리콘 산화막 내부에 존재하는 -OH기가 촉매로서 작용하게 된다. 그 결과 -OH기의 촉매 활동으로 인하여 불산 기체는 불소와 수소로 분해가 일어나게 된다. 실리콘 산화막은 분해되어진 불소와 반응하여 SiF4의 부산물을 형성하면서 쉽게 제거된다.
상기의 원자층 형성 공정 장치의 인시튜 클리닝 방법에 사용되어지는 불산 기체에 -OH기가 함유되어 있는 수증기 (H2O) 또는 과산화수소 (H2O2)를 혼합하여 사용하면, 불산 기체가 더욱 더 많은 불소와 수소로 분해되기 때문에, 인시튜 클리닝 효율을 증가시킬 수 있다.
상기 인시튜 클리닝 방법은 구체적으로,
(a) 단위 증착이 완료되면 반응실의 적어도 하나 이상의 웨이퍼를 로딩하는 서셉터에 위치한 웨이퍼를 반응실 외부로 이송하는 단계;
(b) 반응실 내에 상기 증착 공정의 온도를 유지하면서 클리닝 분위기를 조성하는 단계;
(c) 상기 클리닝 분위기에서 클리닝 기체인 불산 기체 또는 불산과 수증기의 혼합 기체를 공급하여 상기 반응실 내의 잔류물, 불순물 및 원하지 않는 부분에 증착된 막 중 적어도 어느 하나를 클리닝하는 단계; 및
(d) 불활성 기체를 공급하여 상기 클리닝시에 발생하는 부산물과 불순물을 상기 반응실의 외부로 제거하는 단계를 포함한다.
상기 인시튜 클리닝은 전술한 본 발명의 ALD 공정의 온도 범위와 동일하거나 동일온도 ± 10% 범위 내에서 수행되며, 이러한 저온 공정을 수행하는 ALD 장치의 인시튜 클리닝이 가능하게 된다.
이하 본 발명을 실시예에 의하여 상세히 설명한다. 단 실시예는 발명을 예시하는 것일 뿐 본 발명이 하기 실시예에 의하여 한정되는 것은 아니다.
실시예 1. HCD 소스량 증가에 따른 실리콘 산화막의 증착 속도 변화
HCD 소스량 증가에 따른 실리콘 산화막의 증착 속도를 알아보기 위하여 하기와 같이 실험을 실시하였다. 우선, 반응기에 HCD (도 4에 표시된 바와 같은 다양한 유량)와 피리딘 (100sccm)을 공급하고, 과잉의 잔류 소스를 제거하기 위하여 펌핑 (1mTorr)을 수행한 다음, H2O (500sccm) 및 피리딘 (100sccm) 공급하고, 과잉의 잔류 소스를 제거하는 펌핑 (1mTorr)을 수행하는 이러한 일련의 과정을 반복하여 실리콘 산화막을 증착하였다.
그 결과, 도 4에 나타낸 바와 같이 HCD 소스량이 증가함에 따라 증착 속도가 증가하다가, 사이클당 2Å일 때 증착 속도가 포화를 이루는 것을 알 수 있었다. 즉 HCD 소스량이 증가함에 따라 증착 속도가 더 이상 증가하지 않고, 포화를 이루는 것은 HCD와 표면에 흡착되어있는 화학종이 자기 제한적 반응을 나타내기 때문임을 알 수 있다.
실시예 2 공정 온도에 따른 실리콘 산화막의 증착 속도 변화
공정 온도에 따른 실리콘 산화막의 증착 속도를 알아보기 위하여 하기와 같이 실험을 수행하였다. 우선, 도 1의 SiCl4를 사용한 데이터 (USP 6,090,422)와의 비교를 위하여 도 1의 실험조건과 유사한 온도 범위에서 공정을 수행하였다. 반응기에 HCD (500sccm)와 피리딘 (100sccm)을 공급하고, 과잉의 잔류 소스를 제거하기 위하여 펌핑 (1mTorr)을 수행한 다음, H2O (500sccm) 및 피리딘 (100sccm) 공급하고, 과잉의 잔류 소스를 제거하는 펌핑 (1mTorr)을 수행하는 이러한 일련의 과정을 반복하여 실리콘 산화막을 증착하였다.
그 결과, 도 5에 나타낸 바와 같이, 실리콘 산화막의 증착 속도는 온도가 증가함에 따라 감소하는 경향을 나타내었다. 그러나, 도 5의 데이터를 SiCl4소스를 사용한 도 1의 결과와 비교하여 보면, 도 1에서 320∼370K 온도 즉, 50∼100℃에서의 증착 속도 (증착 두께 (Å) / 5 cycle)에 비하여 본 발명의 증착 속도가 약 1.5∼2.0배 정도 높음을 알 수 있다.
이상에서 살펴본 바와 같이, 본 발명에서는 HCD 소스를 사용함으로써 저온에서 ALD 방법으로 실리콘산화막을 높은 증착률로 성장시킬 수 있고, 이에 따라 웨이퍼 생산량도 증가시킬 수 있다.
또한 불산 기체 또는 불산 기체와 수증기의 혼합 기체를 사용하여 200℃ 이하의 저온에서 ALD 형성 공정 장치를 인시튜 클리닝함으로써, 각각의 웨이퍼간 (wafer to wafer)의 두께와 물성의 균일도 및 재현성이 증가되며, 공정 횟수의 증가로 인해 궁극적으로 웨이퍼의 생산량을 증가시킬 수 있다.

Claims (17)

  1. 실리콘 소스로서 Si2Cl6를 사용하여 원자층 증착 공정을 수행하는 것을 특징으로 하는 실리콘 산화막 형성방법.
  2. 제 1 항에 있어서,
    상기 공정은 50∼200℃ 온도에서 수행되는 것을 특징으로 하는 실리콘 산화막 형성방법.
  3. 제 2 항에 있어서,
    상기 공정은 50∼140℃ 온도에서 수행되는 것을 특징으로 하는 실리콘 산화막 형성방법.
  4. 제 1 항에 있어서,
    상기 공정은 촉매의 존재 하에서 수행되는 것을 특징으로 하는 실리콘 산화막 형성방법.
  5. 제 4 항에 있어서,
    상기 촉매는 루이스 염기인 것을 특징으로 하는 실리콘 산화막 형성방법.
  6. 제 4 항에 있어서,
    상기 촉매는 피리딘, 트리메틸아민 (TMA), 트리에틸아민 (TEA) 및 이들의 혼합물로 이루어진 군으로부터 선택된 것임을 특징으로 하는 실리콘 산화막 형성방법.
  7. (a) 표면에 -OH기를 갖는 반도체 기판을 -H기를 활성화시키는 제1 촉매에 노출시키는 단계와,
    (b) 상기 결과물 표면을 Si2Cl6에 노출시켜 하기 반응식 3의 반응을 수행하는 단계와,
    (c) 상기 결과물 표면을 -Cl기를 활성화시키는 제2 촉매에 노출시키는 단계와,
    (d) 상기 결과물 표면을 H2O에 노출시켜 하기 반응식 4의 반응을 수행하는 단계를 포함하는 것을 특징으로 하는 실리콘 산화막 형성방법.
    [반응식 3]
    -OH*+ Si2Cl6→ -O-Si2Cl5/ -O-Si2Cl4+ HCl
    [반응식 4]
    -O-Si-Cl*+ H2O → -O-Si-OH + HCl
  8. 제 7 항에 있어서,
    상기 (b) 및 (d) 단계는 50∼200℃ 온도에서 수행되는 것을 특징으로 하는 실리콘 산화막 형성방법.
  9. 제 7 항에 있어서,
    상기 (a)∼(d) 공정은 2회 이상 반복하여 수행되는 것을 특징으로 하는 실리콘 산화막 형성방법.
  10. 제 7 항에 있어서,
    상기 제1 촉매 및 제2 촉매는 동일한 것을 특징으로 하는 실리콘 산화막 형성방법.
  11. 제 7 항에 있어서,
    상기 (b) 단계 후에 펌핑 또는 퍼지에 의해 잔류기체를 반응실로부터 제거하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 산화막 형성방법.
  12. 제 7 항에 있어서,
    상기 (d) 단계 후에 펌핑 또는 퍼지에 의해 잔류기체를 반응실로부터 제거하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 산화막 형성방법.
  13. 제 7 항에 있어서,
    실리콘 산화막 증착이 완료된 반도체 기판을 반응실 외부로 이송한 후에, 인시튜 (in situ)로 반응실을 클리닝하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  14. 제 13 항에 있어서,
    상기 클리닝 단계는 반응실 온도를 50∼200℃로 유지하면서 수행하는 것을 특징으로 하는 실리콘 산화막 형성방법.
  15. 제 13 항에 있어서,
    상기 클리닝 단계는 반응실의 온도를 상기 (b) 또는 (d) 단계와 동일하거나 또는 동일온도 ± 10% 범위내로 유지한 상태에서 진행되는 것을 특징으로 하는 실리콘 산화막 형성방법.
  16. 제 13 항에 있어서,
    상기 클리닝 단계는 불산 기체 또는 불산 기체와 -OH기를 함유하는 기체의 혼합기체를 사용하여 수행되는 것을 특징으로 하는 실리콘 산화막 형성방법.
  17. 제 16 항에 있어서,
    상기 -OH기를 함유하는 기체는 수증기 (H2O) 또는 과산화 수소 (H2O2)인 것을 특징으로 하는 실리콘 산화막 형성방법.
KR10-2002-0022618A 2002-04-25 2002-04-25 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 KR100468729B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2002-0022618A KR100468729B1 (ko) 2002-04-25 2002-04-25 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US10/422,252 US7077904B2 (en) 2002-04-25 2003-04-23 Method for atomic layer deposition (ALD) of silicon oxide film
US11/305,686 US20060090694A1 (en) 2002-04-25 2005-12-16 Method for atomic layer deposition (ALD) of silicon oxide film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0022618A KR100468729B1 (ko) 2002-04-25 2002-04-25 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법

Publications (2)

Publication Number Publication Date
KR20030084110A true KR20030084110A (ko) 2003-11-01
KR100468729B1 KR100468729B1 (ko) 2005-01-29

Family

ID=36260364

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0022618A KR100468729B1 (ko) 2002-04-25 2002-04-25 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법

Country Status (2)

Country Link
US (2) US7077904B2 (ko)
KR (1) KR100468729B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100954027B1 (ko) * 2006-11-14 2010-04-20 어플라이드 머티어리얼스, 인코포레이티드 고―k 물질의 촉매 보조 실리케이트 증착 방법
KR100980900B1 (ko) * 2006-11-14 2010-09-07 어플라이드 머티어리얼스, 인코포레이티드 저온 원자층 증착 SiO₂
US9754959B2 (en) 2014-12-10 2017-09-05 Samsung Electronics Co., Ltd. Non-volatile semiconductor devices

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100732759B1 (ko) * 2005-06-22 2007-06-27 주식회사 하이닉스반도체 반도체 소자의 비트라인 및 그 형성 방법
TWI262550B (en) * 2005-10-14 2006-09-21 Ind Tech Res Inst Element with a low temperature poly-Si film, method of direct poly-Si deposition at low temperature and inductively-coupled plasma chemical vapor deposition
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090080751A (ko) * 2008-01-22 2009-07-27 삼성전자주식회사 저항성 메모리 소자 및 그 제조방법
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5178342B2 (ja) * 2008-06-23 2013-04-10 キヤノン株式会社 堆積物除去方法及び堆積膜形成方法
EP2139054A3 (en) * 2008-06-25 2011-08-31 Samsung Electronics Co., Ltd. Memory device and method of manufacturing the same
US20100029072A1 (en) * 2008-07-31 2010-02-04 Park Jae-Eon Methods of Forming Electrical Interconnects Using Thin Electrically Insulating Liners in Contact Holes
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
WO2011022623A2 (en) 2009-08-21 2011-02-24 Boston Scientific Scimed, Inc. Medical devices containing therapeutic agents
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6123020B2 (ja) * 2014-03-13 2017-04-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6484478B2 (ja) * 2015-03-25 2019-03-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105668622B (zh) * 2015-07-30 2018-01-30 四川大学 一种气相原子沉积钛白粉包膜的方法
US20170103888A1 (en) * 2015-10-13 2017-04-13 Entegris, Inc. AMINE CATALYSTS FOR LOW TEMPERATURE ALD/CVD SiO2 DEPOSITION USING HEXACHLORODISILANE/H2O
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
CN106941103A (zh) * 2016-01-04 2017-07-11 中芯国际集成电路制造(北京)有限公司 Nand存储器的形成方法
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
JP6946248B2 (ja) * 2018-09-26 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11393711B2 (en) * 2018-11-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxide layer for oxidation resistance and method forming same
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE629605A (ko) 1962-03-16
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
US5470800A (en) 1992-04-03 1995-11-28 Sony Corporation Method for forming an interlayer film
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JP3117069B2 (ja) * 1995-11-06 2000-12-11 セントラル硝子株式会社 アルコキシシラン非完全分解物のクリーニング方法
JP3836553B2 (ja) 1996-12-26 2006-10-25 独立行政法人科学技術振興機構 シリコン系絶縁膜の製造方法
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
JP2001002990A (ja) 1999-06-21 2001-01-09 Jsr Corp 膜形成用組成物、膜の形成方法および低密度膜
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
JP3549193B2 (ja) 2000-03-31 2004-08-04 キヤノン販売株式会社 被成膜面の改質方法及び半導体装置の製造方法
KR100467366B1 (ko) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6664156B1 (en) * 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100954027B1 (ko) * 2006-11-14 2010-04-20 어플라이드 머티어리얼스, 인코포레이티드 고―k 물질의 촉매 보조 실리케이트 증착 방법
KR100980900B1 (ko) * 2006-11-14 2010-09-07 어플라이드 머티어리얼스, 인코포레이티드 저온 원자층 증착 SiO₂
US9754959B2 (en) 2014-12-10 2017-09-05 Samsung Electronics Co., Ltd. Non-volatile semiconductor devices
US9991281B2 (en) 2014-12-10 2018-06-05 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Also Published As

Publication number Publication date
KR100468729B1 (ko) 2005-01-29
US7077904B2 (en) 2006-07-18
US20030203113A1 (en) 2003-10-30
US20060090694A1 (en) 2006-05-04

Similar Documents

Publication Publication Date Title
KR100468729B1 (ko) Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
US7488694B2 (en) Methods of forming silicon nitride layers using nitrogenous compositions
KR100434186B1 (ko) 트리스디메틸아미노실란을 이용한 원자층 적층으로실리콘을 함유하는 박막을 형성하는 방법
US7429541B2 (en) Method of forming trench isolation in the fabrication of integrated circuitry
KR100443085B1 (ko) 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법
JP4704618B2 (ja) ジルコニウム酸化膜の製造方法
KR100385947B1 (ko) 원자층 증착 방법에 의한 박막 형성 방법
JP2021061414A5 (ko)
US8563443B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US7084076B2 (en) Method for forming silicon dioxide film using siloxane
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
KR20170138950A (ko) 금속성 막들의 선택적 퇴적
US20030215570A1 (en) Deposition of silicon nitride
KR20090016403A (ko) 실리콘 산화막 증착 방법
KR20090092728A (ko) 원자층 증착 기술을 이용한 도핑 방법
US20200263297A1 (en) Deposition of oxides and nitrides
KR20030064083A (ko) 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법
KR20050094690A (ko) 실리콘싸이오할라이드를 이용한 실리콘산화 막 형성방법
US20220359215A1 (en) Area-selective etching
US20220277955A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TW202403076A (zh) 有機材料之選擇性沉積
KR20050028751A (ko) 실릴아민을 이용한 박막 형성방법
KR20210087808A (ko) 표면 보호 물질을 이용한 물질막 형성 방법
JP2002252229A (ja) 窒化膜形成方法及び装置

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130102

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140103

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20141231

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 13