KR100954027B1 - 고―k 물질의 촉매 보조 실리케이트 증착 방법 - Google Patents

고―k 물질의 촉매 보조 실리케이트 증착 방법 Download PDF

Info

Publication number
KR100954027B1
KR100954027B1 KR1020070112577A KR20070112577A KR100954027B1 KR 100954027 B1 KR100954027 B1 KR 100954027B1 KR 1020070112577 A KR1020070112577 A KR 1020070112577A KR 20070112577 A KR20070112577 A KR 20070112577A KR 100954027 B1 KR100954027 B1 KR 100954027B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
pyridine
deposition method
exposing
Prior art date
Application number
KR1020070112577A
Other languages
English (en)
Other versions
KR20080043706A (ko
Inventor
마이트레이 마하자니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080043706A publication Critical patent/KR20080043706A/ko
Application granted granted Critical
Publication of KR100954027B1 publication Critical patent/KR100954027B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Catalysts (AREA)
  • Semiconductor Memories (AREA)

Abstract

고-k 실리케이트 원자층 증착 방법이 개시된다. 하프늄 실리케이트 층을 생성하기 위해, 기판이 하프늄 전구체의 펄스, 산화제의 펄스, 실리콘 전구체의 펄스, 및 다른 산화제의 펄스에 노출된다. 추가적으로, 촉매가 별도의 유입구를 통해 하나 이상의 반응제와 함께 챔버내로 공동-유동될 수 있다. 그 대신에, 반응제가 소킹 과정으로 도입되기에 앞서서, 촉매가 챔버내로 유동될 수 있다. 별도의 유입구를 통한 촉매의 공동-유동에 의해서 또는 촉매 소킹의 실시에 의해서, 하프늄 실리케이트 형성이 신속한 속도로 및/또는 낮은 온도에서 진행될 수 있을 것이다.

Description

고―K 물질의 촉매 보조 실리케이트 증착 방법{METHOD OF DEPOSITING CATALYST ASSISTED SILICATES OF HIGH-K MATERIALS}
본 발명은 개략적으로 원자층 증착(ALD)에 의해 기판상에 하프늄 실리케이트 층을 증착하는 방법에 관한 것이다.
반도체 프로세싱, 평판 디스플레이 프로세싱 또는 기타 전자 소자 프로세싱 분야에서, 기판상에 물질을 증착하는데 있어서 증착 프로세스들이 중요한 역할을 하고 있다. 전자 소자의 기하학적 형상이 계속적으로 축소되고 있고 소자들의 밀도가 계속적으로 높아짐에 따라, 피쳐(features)의 크기 및 종횡비가 점점 더 공격적(aggressive)이 되고 있다. 따라서, 이들 소자를 형성하기 위한 등각(conformal) 증착이 점점 더 중요해지고 있다.
종래의 화학기상증착(CVD)은 약 0.15 ㎛ 까지 소자의 기하학적 형상 및 종횡비 측면에서 성공적인 것으로 입증되었으나, 보다 진보적인 소자의 기하학적 형상에서는 대안적인 증착 기술이 요구되고 있다. 하나의 기술로서, ALD가 상당한 관심을 받고 있다. ALD 프로세스 중에, 반응제(reactant) 가스들이 기판을 포함하는 프로세스 챔버내로 순차적으로 도입된다. 일반적으로, 제 1 반응제가 프로세스 챔 버내로 펄스화되고(pulsed) 기판 표면으로 흡착된다. 이어서, 제 2 반응제가 프로세스 챔버내로 펄스화되고 제 1 반응제와 반응하여 증착 물질을 형성한다. 펌프 및/또는 퍼지(purge) 단계들이 각 반응제 가스 공급 사이에서 실시될 것이다. 퍼지 단계는 반응제 가스 공급 사이에서 캐리어 가스 또는 펄스 퍼지를 이용한 연속적인 퍼지가 될 수 있다.
ALD에 의한 하프늄 실리케이트의 형성은 소위 당업계에 공지된 프로세스이다. ALD에 의한 하프늄 실리케이트의 형성중에, 하프늄 전구체(precursor)가 챔버내로 펄스화되고, 이어서 산화 공급원(oxidizing source)가 후속된다. 그 후에, 실리콘 전구체가 챔버내로 펄스화되고, 산화 공급원이 후속된다. 산화 공급원은 고(high)-k 실리케이트를 증착하는 경우에 많은 문제를 발생시키는데, 이는 산화 공급원의 촉매와의 반응성에 기인한다.
그에 따라, ALD에서의 고-k 물질의 촉매 보조 실리케이트 증착 방법이 당업계에서 요구되고 있다.
고-k 실리콘 방법을 예시하기 위해, 하프늄 실리케이트 ALD 방법을 설명한다. 하프늄 실리케이트 층을 생성하기 위해, 기판이 하프늄 전구체의 펄스, 산화제의 펄스, 실리콘 전구체의 펄스, 및 다른 산화제의 펄스에 노출될 것이다. 추가적으로, 촉매가 별도의 유입구를 통해 하나 이상의 반응제와 함께 챔버내로 공동-유동될(co-flowed) 수 있다. 그 대신에, 반응제가 소킹(soaking; 침액) 과정으로 도입되기에 앞서서, 촉매가 챔버내로 유동될 수 있다. 별도의 유입구를 통한 촉매의 공동-유동에 의해서 또는 촉매 소킹의 실시에 의해서, 하프늄 실리케이트 형성이 신속한 속도로 및/또는 낮은 온도에서 진행될 것이다.
일 실시예에서, 하프늄 실리케이트 증착 방법이 개시된다. 상기 방법은 기판을 챔버내로 위치시키는 단계, 기판을 하프늄 전구체에 노출시키는 단계, 상기 기판을 제 1 촉매 소크(soak)에 노출시키는 단계, 상기 기판을 제 1 산화 공급원에 노출시키는 단계, 상기 기판을 실리콘 전구체에 노출시키는 단계, 상기 기판을 제 2 촉매 소크에 노출시키는 단계, 그리고 상기 기판을 제 2 산화 공급원에 노출시키는 단계를 포함한다.
다른 실시예에서, 하프늄 실리케이트 증착 방법이 개시된다. 상기 방법은 기판을 챔버내로 위치시키는 단계, 기판을 하프늄 전구체에 노출시키는 단계, 제 1 촉매 및 제 1 산화 공급원을 독립적인 유입구들을 통해서 챔버내로 유동시키면서 상기 기판을 제 1 산화 공급원 및 제 1 촉매에 노출시키는 단계, 상기 기판을 실리콘 전구체에 노출시키는 단계, 및 제 2 촉매 및 제 2 산화 공급원을 독립적인 유입 구들을 통해서 챔버내로 유동시키면서 상기 기판을 제 2 산화 공급원 및 제 2 촉매에 노출시키는 단계를 포함한다.
또 다른 실시예에서, 하프늄 실리케이트 증착 방법이 개시된다. 상기 방법은 기판을 챔버내로 위치시키는 단계, 기판을 하프늄 전구체에 노출시키는 단계, 물 및 피리딘을 독립적인 유입구들을 통해서 챔버내로 유동시키면서 상기 기판을 물 및 피리딘에 노출시키는 단계, 상기 기판을 헥사클로로디실란에 노출시키는 단계, 그리고 물 및 피리딘을 독립적인 유입구들을 통해서 챔버내로 유동시키면서 상기 기판을 물 및 피리딘에 노출시키는 단계를 포함한다.
이하에서는, 전술된 본 발명의 특징들을 보다 구체적으로 이해할 수 있도록, 첨부 도면에 일부가 도시된 실시예들을 참조하여 본 발명을 보다 구체적으로 설명한다. 그러나, 첨부 도면들은 단지 본 발명의 통상적인 실시예들을 도시한 것으로서, 본 발명의 범위를 제한하는 것으로 해석되지 않아야 할 것이며, 따라서 본 발명은 다른 균등한 실시예들도 포함하는 것으로 해석되어야 할 것이다.
이해를 돕기 위해, 도면에서 공통되는 동일한 요소들에 대해서는 가능한 한 동일한 참조부호를 사용하여 표시하였다. 일 실시예에서 개시된 요소들은 특별한 설명이 없더라도 다른 실시예에서 유리하게 이용될 수 있다는 것을 이해할 것이다.
고-k 실리콘 방법을 예시하기 위해 하프늄 실리케이트 ALD 방법을 설명한다. 하프늄 실리케이트 층을 생성하기 위해, 기판이 하프늄 전구체의 펄스, 산화제의 펄스, 실리콘 전구체의 펄스, 및 다른 산화제의 펄스에 노출될 것이다. 추가적으 로, 촉매가 별도의 유입구를 통해 하나 이상의 반응제와 함께 챔버내로 공동-유동될(co-flowed) 수 있다. 그 대신에, 반응제가 소킹 과정으로 도입되기에 앞서서, 촉매가 챔버내로 유동될 수 있다. 별도의 유입구를 통한 촉매의 공동-유동에 의해서 또는 촉매 소킹의 실시에 의해서, 하프늄 실리케이트 형성이 신속한 속도로 및/또는 낮은 온도에서 진행될 수 있다.
도 1은 본 발명의 일 실시예에 따른 장치(100)를 개략적으로 도시한다. 상기 장치(100)는 진공 챔버(102)를 포함한다. 상기 장치(100)는 기판(104) 놓이는 하나 이상의 서셉터(susceptors; 106)를 포함할 수 있는 배치식(batch) 장치(100)일 수 있다. 일 실시예에서, 상기 장치(100)는 단일 기판(104) 장치일 수도 있다. 다른 실시예에서, 서셉터 없이 하나 이상의 기판을 유지할 수 있는 웨이퍼 보트(wafer boat)가 이용될 수도 있다. 생산량을 증대시키기 위해 하나 이상의 기판(104)을 동시에 프로세싱하는 것이 유리할 것이다. 배치식 프로세스에서 발생할 수 있는 하나의 문제점은 웨이퍼들을 균일하게 유지하는 것이다.
전구체가 인젝터 플리넘(108)을 통해 장치(100)로 공급될 수 있다. 인젝터 플리넘(108)은 플리넘 벽(110) 및 인젝션 플레이트(114)를 포함할 수 있으며, 상기 플리넘 벽 및 인젝션 플레이트는 인젝터 플리넘 챔버(122)를 함께 둘러싸서 형성한다. 인젝션 플레이트(114)는 다수의 홀(116)을 구비하며, 상기 홀을 통해 전구체 가스, 퍼지 가스, 및 캐리어 가스가 진공 챔버(102)내로 유동(120)될 수 있다. 인젝션 플레이트(114)는 인젝션 플리넘(108)을 진공 챔버(102)로부터 분리시켜, 진공 챔버(102)가 인젝터 플리넘(108)의 저압측(112)에 위치되게 한다. 전구체, 퍼지 가스, 및 캐리어 가스가 도관(118a-118d)을 통해 인젝터 플리넘(108)으로 도입될 수 있다.
장치(100)는 배출 플리넘(124)을 통해 배기될 수 있다. 배출 플리넘은 배출 플레이트(126) 및 플리넘 벽(130)을 포함할 수 있으며, 상기 배출 플레이트 및 플리넘 벽은 배출 플리넘 챔버(128)를 둘러싸서 형성한다. 다수의 홀(132)이 배출 플레이트(126)내에 있을 수 있다. 가스들은 배출 포트(136)를 통해 배출 플리넘(124)으로부터 배기된다.
추가적인 가스가 도관(134)을 통해 배출 플리넘(124)으로 도입될 수 있다. 추가적인 가스는 배출 플리넘(124) 및 진공 챔버(102)의 표면에 응축될 수도 있는 반응 부산물을 절감시키거나 변환시킬 수 있다. 스로틀 밸브(throttle valve; 138)가 진공 챔버(102) 압력을 제어할 수 있다.
ALD를 이용하여 고-k 실리케이트를 형성할 때, 하프늄 전구체와 같은 고-k 전구체가 장치(100)로 공급될 수 있다. 하프늄 실리케이트 층을 증착하는데 이용될 수 있는 예시적인 하프늄 전구체는 할라이드, 알킬아미노, 시클로펜타디에닐, 알킬, 알콕사이드, 그 유도체 또는 그들의 조합을 포함한다. 하프늄 전구체로서 유용한 하프늄 할라이드 화합물은 HfCl4, HfI4, 및 HfBr4 를 포함한다. 하프늄 전구체로서 유용한 하프늄 알킬아미노 화합물은 (RR'N)4Hf를 포함하며, 이때 R 또는 R'는 독립적으로 수소, 메틸, 에틸, 프로필 또는 부틸이다. 하프늄-함유 물질을 증착하는데 유용한 하프늄 전구체는 (Et2N)4Hf(TDEAH), (Me2N)4Hf(TDMAH), (MeEtN)4Hf(TEMAH), (tBuC5H4)2HfCl2, (C5H5)2HfCl2, (EtC5H4)2HfCl2, (Me5C5)2HfCl2, (Me5C5)HfCl3, (iPrC5H4)2HfCl2, (iPrC5H4)HfCl3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, (NO3)4Hf, (tBuO)4Hf, (iPrO)4Hf, (EtO)4Hf, (MeO)4Hf 또는 그 유도체를 포함한다.
하프늄 실리케이트와 관련하여 본 발명을 설명하였지만, 본 발명은 Al, Zr, La, 및 Sr 을 포함하는 실리케이트와 같은 다른 고-k 물질에도 적용될 수 있다는 것을 이해하여야 한다. 이용될 수 있는 고-k 전구체의 예를 본 명세서에서 참조되는 미국 특허공개 제 2006/0019033 호로부터 찾아 볼 수 있을 것이다.
실리콘 전구체 역시 장치(100)로 공급될 수 있다. 하프늄 실리케이트 증착에 유용한 예시적인 실리콘 전구체는 실란, 알킬실란, 아미노실란, 알킬아미노실란, 실라놀, 또는 알콕시 실린을 포함한다. 예를 들어, 실리콘 전구체는 (Me2N)4Si, (Me2N)3SiH, (Me2N)2SiH2, (Me2N)SiH3, (Et2N)4Si, (Et2N)3SiH, (MeEtN)4Si, (MeEtN)3SiH, Si(NCO)4, MeSi(NCO)3, SiH4, Si2H6, SiCl4, Si2Cl6, MeSiCl3, HSiCl3, Me2SiCl2, H2SiCl2, MeSi(OH)3, Me2Si(OH)2, (MeO)4Si, (EtO)4Si, 또는 그 유도체를 포함할 수 있다. 실리콘 전구체로서 유용한 다른 알킬아미노실란 화합물은 (RR'N)4-nSiHn 을 포함하며, 이때 R 또는 R'는 독립적으로 수소, 메틸, 에틸, 프로필 또는 부틸이며, n=0-3이다. 다른 알콕시 실란은 (RO)4- nSiLn 의 일반화학식(generic chemical formula)으로 표시될 수 있으며, 이때 R 은 메틸, 에틸, 프로필 또는 부틸이고, L 은 H, OH, F, Cl, Br 또는 I 그리고 그 혼합물이다. 또한, 보다 고차원의(higher) 실란도 본 발명의 일부 실시예에서 실리콘 전구체로 이용될 수 있다. 보다 높은 차원의 실란은 본 명세서에 전체가 참조되는 미국 특허공개 제 2004/0224089 호에 개시되어 있다. 일부 실시예에서, 실리콘 전구체는 트리스(디메틸아미노)실란 ((Me2N)3SiH 또는 트리스-DMAS), 테트라키스(디메틸아미노)실란 ((Me2N)4Si 또는 TDMAS) 또는 다른 디알킬아미노실란을 포함할 수 있으며, 다른 실시예에서는 실리콘 전구체가 실란(SiH4) 또는 실라놀을 포함할 수 있다. 또 다른 실시예에서, 실리콘 전구체가 헥사클로로디실란(HCDS)을 포함할 수 있다. 또 다른 실시예에서, 실리콘 전구체가 테트라키스-에톡시-실란(TEOS)을 포함할 수 있다.
ALD 프로세싱에서 하프늄 실리케이트를 형성하기 위한 산화 공급원은 산소 (O2), 오존 (O3), 원자-산소 (O), 과산화수소 (H2O2), 이산화질소 (N2O), 일산화질소 (NO), 디니트로겐 퍼옥사이드 (N2O5), 니트로겐 디옥사이드 (NO2), 물 (H2O), 알콜, 그 유도체 및 그들의 조합을 포함한다. 예시적인 실시예에서, 산화 공급원은 물 (H2O)을 포함한다.
산화 공급원로서 물을 이용할 때, ALD가 촉매가 없는 경우 보다 더 빠른 속도로 그리고 더 낮은 온도에서 진행될 수 있도록, 촉매가 공급된다. 이용될 수 있 는 촉매는 암모니아 및 피리딘을 포함한다. 피리딘 및 물이 상호작용할 수 있다. 그에 따라, 물과 피리딘이 동일한 유입구 도관을 통해 챔버로 공동-유동될 때, 물과 피리딘은 챔버에 도달하기 전에 상호작용할 것이다. 물과 피리딘이 상호작용할 때, 피리딘은 촉매로서 더 이상 유효하게 작용하지 않으며, 그에 따라 ALD 증착 속도(rate)는 빨라지지 않는다.
챔버에 도달하기 전에 물과 피리딘이 상호작용하는 것을 방지하기 위해, 독립된 공급 도관을 이용하여 독립된 익젝터 플리넘(injector plenums)으로 피리딘과 물을 공동-유동시킬 수 잇다. 도 1b는 본 발명의 일 실시예에 따른 인젝터 플리넘(108a-c)을 개략적으로 도시하고 있다. 도 1b에 도시된 바와 같이, 각 도관(118a-118d)은 독립적인 인젝터 플리넘(108a-108d)으로 공급된다. 그에 따라, 피리딘과 물이 챔버에 도달할 때까지 피리딘-물 상호작용이 방지될 것이다.
HCDS 및 TEOS와 같은 실리콘 전구체와 TDMAH, TEMAH, TDEAH, 및 HfCl4 와 같은 하프늄 전구체는 피리딘과 상호작용하지 않는다. 그에 따라, 실리콘 전구체 및 피리딘은 동일한 도관 및 인젝터 플리넘을 이용하여 챔버로 공동-유동될 수 있을 것이다. 일 실시예에서, 피리딘과 실리콘 전구체는 동일한 도관 및 인젝터 플리넘을 이용하여 챔버내로 유동될 수 있다. 다른 실시예에서, 피리딘과 실리콘 전구체가 독립적인 도관 및 인젝터 플리넘을 이용하여 챔버내로 유동될 수 있다. 또한, 하프늄 전구체 및 피리딘은 동일한 도관 및 인젝터 플리넘을 이용하여 챔버로 공동-유동될 수 있을 것이다. 일 실시예에서, 피리딘과 하프늄 전구체는 동일한 도관 및 인젝터 플리넘을 이용하여 챔버내로 유동될 수 있다. 다른 실시예에서, 피리딘과 하프늄 전구체가 독립적인 도관 및 인젝터 플리넘을 이용하여 챔버내로 유동될 수 있다.
독립적인 인젝터 플리넘 및 도관을 이용하여 챔버로 피리딘과 물을 공동-유동시키는 것에 대한 대안은, 물을 챔버내로 도입하기에 앞서서 기판을 피리딘 소크(soak)에 노출시키는 것이다. 피리딘 소크는 다른 전구체 또는 물과 같은 산화제를 도입하지 않고 기판을 피리딘에 노출시키는 것을 포함할 수 있다. 기판은 피리딘으로 기판이 포화되기에 충분한 시간 동안 피리딘에 노출될 것이다. 일 실시예에서, 피리딘 소크는 약 10 초 보다 긴 시간 동안 이루어진다. 피리딘 소크를 실시함으로서, 충분한 촉매가 챔버내에 그리고 기판 표면에 존재하게 되어, 물 전구체가 도입되었을 때 촉매가 확실하게 존재할 수 있게 보장한다. 피리딘이 챔버내에 이미 존재하기 때문에, 챔버에 도달하기 전에 물과의 상호작용에 의해 모든 피리딘이 소모되지는 않는다. 피리딘 소크가 실시되는 경우에, 추가적인 피리딘이, 필요에 따라, 산화제와 함께, 실리콘 전구체와 함께, 그리고 하프늄 전구체와 함께 공동-유동될 수 있다. 일 실시예에서, 피리딘 소크가 실시되고, 실리콘 전구체가 챔버내로 공급되고 이어서 물이 챔버내로 공급될에도 계속진행되어 챔버내로 유동된다. 다른 실시예에서, 피리딘 소크가 실시되고, 물 공급 및 실리콘 전구체 공급이 이루어지는 동안 피리딘 소크는 중단된다. 또 다른 실시예에서, 피리딘 소크가 실시되고, 하프늄 전구체가 챔버내로 공급되고 이어서 물이 챔버내로 공급될에도 계속진행되어 챔버내로 유동된다. 또 다른 실시예에서, 피리딘 소크가 실시 되고, 물 공급 및 하프늄 전구체 공급이 이루어지는 동안 피리딘 소크는 중단된다. 피리딘은 섭씨 약 100 도 내지 약 300 도와 같은 낮은 온도에서도 반응이 일어날 수 있게 한다. 일 실시예에서, 온도는 섭씨 약 150 도 내지 약 200 도이다. 온도가 낮기 때문에, 하프늄 실리케이트의 성장 속도가 빨라진다.
암모니아를 촉매로서 이용할 때, 암모니아 소크가 피리딘 소크와 관련하여 전술한 방식과 유사한 방식으로 실시된다. 암모니아는 동일한 또는 별도의 유입구를 이용하여 하프늄 및 실리콘 전구체와 함께 챔버내로 공동-유동될 수 있다. 암모니아 유동은 또한 전구체들이 챔버내로 유동되는 동안에 중단될 수도 있다. 산화 공급원이 챔버로 제공되는 동안에 암모니아가 챔버로 추가적으로 제공될 수도 있다. 암모니아는 산화 공급원으로부터 동일한 유입구 또는 별개의 유입구에 의해 제공될 수 있다. 또한, 암모니아 소크는 산화 공급원의 도입 전에 존재할 수도 있고 또는 존재하지 않을 수도 있다.
도 2는 본 발명의 일 실시예에 따른 증착 방법의 흐름도(200)이다. 먼저, 하나 이상의 기판이 프로세스 챔버내에 위치될 것이다(단계 202). 이어서, 기판은 피리딘 소크에 선택적으로 노출될 것이다. 피리딘 소크는 10 초 이상의 시간 동안 이루어진다. 선택적인 피리딘 소크에 이어서, 하프늄 전구체의 펄스가 챔버내로 도입된다(단계 204). 하프늄 전구체가 챔버내로 도입되는 동안에, 피리딘이 선택적으로 챔버내로 제공될 수 있다.
하프늄 전구체의 펄스에 이어서, 챔버가 퍼지되거나 및/또는 펌핑될 수 있다(단계 206). 이용될 수 있는 예시적인 퍼지 가스는 아르곤과 같은 불활성 가스 를 포함한다. 일 실시예에서, 퍼지 가스가 질소를 포함할 수 있다. 챔버내에 존재할 수 있는 모든 잔류 하프늄 전구체 및 퍼지 가스를 제거하기 위해 챔버를 펌핑한다. 일 실시예에서, 펌핑을 실시하지 않고, 단지 퍼지 단계만이 실시된다. 그 대신에, 퍼지 단계를 생략하고, 하프늄 전구체를 제거하기 위해 챔버를 펌핑할 수도 있다. 일 실시예에서, 퍼지 가스의 도입 전후에 펌핑을 실시할 수 있다. 다른 실시예에서, 퍼지 및 펑핑 모두를 반복할 수 있다. 펌핑 및/또는 퍼지는 수차례 실시될 수도 있다. 또 다른 실시예에서, 퍼지 및 펌핑이 하나의 단계에서 조합되어 실시될 수도 있다.
펌핑 및/또는 퍼지에 이어서, 피리딘 소크가 실시된다(단계 208). 제 1 피리딘 소크 후에, 물과 같은 산소 공급원 펄스가 챔버내로 도입된다(단계 210). 산소 공급원이 챔버로 도입되는 동안에, 피리딘이 챔버내로 선택적으로 공동-유동될 수 있다. 만약, 피리딘이 물과 함께 챔버내로 공동-유동되면, 피리딘 및 물은 챔버내로의 독립적인 유입구들을 가짐으로써, 물과 피리딘이 동일한 도관을 통해서 동시에 챔버내로 유동하지 않게 한다.
산소 공급원의 펄스가 챔버로 제공된 후에, 전술한 바와 같이 챔버가 다시 펌핑되고 및/또는 퍼지될 수 있다(단계 212). 펌핑 및/또는 퍼지 후에, 다른 피리딘 소크가 선택적으로 실시될 수 있다. 그 후에, 실리콘 전구체가 챔버내로 펄스화될(pulsed) 수 있다(단계 214). 실리콘 전구체가 챔버내로 도입되는 동안에, 피리딘이 챔버로 선택적으로 제공될 수 있다. 실리콘 전구체 펄스에 이어서, 챔버가 다시 펌핑 및/또는 퍼지될 수 있다(단계 216).
펌핑 및 퍼지 후에, 기판은 다른 피리딘 소크에 노출될 수 있다(단계 218). 피리딘 소크는 전술한 피리딘 소크에서와 동일한 프로세싱 조건하에서 이루어질 수 있다. 피리딘 소크 이후에, H2O와 같은 산화 공급원의 펄스가 챔버내로 도입된다(단계 220). 소크 단계로부터의 피리딘이 이미 챔버내에 존재하기 때문에, 촉매로서 작용하기에 충분한 피리딘이 존재하게 된다. 기판을 H2O와 같은 산화 공급원에 노출시킨 후에, 다른 펌핑 및/또는 퍼지 사이클이 전술한 바와 같은 동일한 조건하에서 실시될 수 있다(단계 222).
챔버를 펌핑 및/또는 퍼지한 후에, 하프늄 실리케이트의 두께가 소정(所定) 두께에 도달하였는지를 결정하기 위해 하프늄 실리케이트 층의 두께를 측정한다(단계 224). 소정 두께에 도달하지 못하였다면, 증착 시퀀스가 반복될 것이다. 소정 두께에 도달하였다면, 프로세스가 종료된다(단계 226).
도 3은 본 발명의 다른 실시예에 따른 증착 방법의 흐름도(300)이다. 먼저, 하나 이상의 기판이 프로세스 챔버내에 위치될 것이다(단계 302). 이어서, 기판은 암모니아 소크에 선택적으로 노출될 것이다. 암모니아 소크는 10 초 이상의 시간 동안 이루어진다. 선택적인 암모니아 소크에 이어서, 하프늄 전구체의 펄스가 챔버내로 도입된다(단계 304). 하프늄 전구체가 챔버내로 도입되는 동안에, 암모니아가 선택적으로 챔버내로 제공될 수 있다.
전술한 바와 같이, 하프늄 전구체의 펄스에 이어서, 챔버가 퍼지되거나 및/또는 펌핑될 수 있다(단계 306). 펌핑 및/또는 퍼지에 이어서, 암모니아 소크가 실시된다(단계 308). 암모니아 소크 후에, 물과 같은 산소 공급원 펄스가 챔버내로 도입된다(단계 310). 산소 공급원이 챔버로 도입되는 동안에, 암모니아가 챔버내로 선택적으로 공동-유동될 수 있다. 암모니아 그리고 물과 같은 산소 공급원이 동일한 도관을 통해서 또는 독립적인 도관을 통해서 챔버내로 제공될 수 있다.
산소 공급원의 펄스가 챔버로 제공된 후에, 전술한 바와 같이 챔버가 다시 펌핑되고 및/또는 퍼지될 수 있다(단계 312). 펌핑 및/또는 퍼지 후에, 다른 암모니아 소크가 선택적으로 실시될 수 있다. 그 후에, 실리콘 전구체가 챔버내로 펄스화될 수 있다(단계 314). 실리콘 전구체가 챔버내로 도입되는 동안에, 암모니아가 챔버로 선택적으로 제공될 수 있다. 실리콘 전구체 펄스에 이어서, 챔버가 다시 펌핑 및/또는 퍼지될 수 있다(단계 316).
펌핑 및 퍼지 후에, 기판은 다른 암모니아 소크에 노출될 수 있다(단계 318). 암모니아 소크는 전술한 암모니아 소크에서와 동일한 프로세싱 조건하에서 이루어질 수 있다. 암모니아 소크 이후에, H2O와 같은 산화 공급원의 펄스가 챔버내로 도입된다(단계 320). 기판을 H2O와 같은 산화 공급원에 노출시킨 후에, 다른 펌핑 및/또는 퍼지 사이클이 전술한 바와 같은 동일한 조건하에서 실시될 수 있다(단계 322).
챔버를 펌핑 및/또는 퍼지한 후에, 하프늄 실리케이트의 두께가 소정 두께에 도달하였는지를 결정하기 위해 하프늄 실리케이트 층의 두께를 측정한다(단계 324). 소정 두께에 도달하지 못하였다면, 증착 시퀀스가 반복될 것이다. 소정 두 께에 도달하였다면, 프로세스가 종료된다(단계 326).
도 4는 본 발명의 또 다른 실시예에 따른 증착 방법의 흐름도(400)이다. 먼저, 하나 이상의 기판이 프로세스 챔버내에 위치될 것이다(단계 402). 하프늄 전구체의 펄스가 챔버내로 도입된다(단계 404). 전술한 것과 유사한 방식으로, 피리딘이 하프늄 전구체와 함께 챔버내로 선택적으로 공동-유동될 수 있다. 하프늄 전구체 펄스에 이어서, 전술한 바와 같이, 챔버가 퍼지되거나 및/또는 펌핑될 수 있다(단계 406).
펌핑 및/또는 퍼지에 이어서, 물과 같은 산소 공급원의 펄스가 챔버로 도입될 수 있다(단계 408). 산소 공급원이 챔버로 도입되는 동안에, 피리딘이 챔버내로 공동-유동될 수 있다. 피리딘 및 물이 동일한 도관 및 유입구를 통해서 동시에 챔버내로 유동되지 않도록, 피리딘 및 물이 챔버로 연결되는 별도의 유입구를 가질 것이다.
피리딘 및 산소 공급원의 펄스가 챔버로 제공된 후에, 챔버는 전술한 바와 같이 다시 펌핑되고 및/또는 퍼지될 수 있다(단계 410). 그 후에, 실리콘 전구체가 챔버내로 펄스화될 수 있다(단계 412). 전술한 방식과 유사한 방식으로, 피리딘이 실리콘 전구체와 함께 챔버내로 선택적으로 공동-유동될 수 있다. 실리콘 전구체 펄스에 이어서, 챔버가 다시 펌핑 및/또는 퍼지될 수 있다(단계 414).
펌핑 및 퍼지 후에, H2O와 같은 산화 공급원의 펄스가 챔버내로 도입된다(단계 416). 산소 공급원이 챔버로 도입되는 동안에, 피리딘이 챔버내로 공동-유동될 수 있다. 피리딘 및 물이 동일한 도관 및 유입구를 통해서 그리고 동시에 유동되지 않도록, 피리딘 및 물은 챔버로 연결되는 별도의 유입구를 가질 것이다. 기판을 H2O와 같은 산화 공급원에 노출시킨 후에, 다른 펌핑 및/또는 퍼지 사이클이 전술한 바와 같은 동일한 조건하에서 실시될 수 있다(단계 418).
챔버를 펌핑 및/또는 퍼지한 후에, 하프늄 실리케이트의 두께가 소정 두께에 도달하였는지를 결정하기 위해 하프늄 실리케이트 층의 두께를 측정한다(단계 420). 소정 두께에 도달하지 못하였다면, 증착 시퀀스가 반복될 것이다. 소정 두께에 도달하였다면, 프로세스가 종료된다(단계 422).
도 5는 본 발명의 또 다른 실시예에 따른 증착 방법의 흐름도(500)이다. 먼저, 하나 이상의 기판이 프로세스 챔버내에 위치될 것이다(단계 502). 하프늄 전구체의 펄스가 챔버내로 도입된다(단계 504). 전술한 것과 유사한 방식으로, 암모니아가 하프늄 전구체와 함께 챔버내로 선택적으로 공동-유동될 수 있다. 하프늄 전구체 펄스에 이어서, 전술한 바와 같이, 챔버가 퍼지되거나 및/또는 펌핑될 수 있다(단계 506).
펌핑 및/또는 퍼지에 이어서, 물과 같은 산소 공급원의 펄스가 챔버로 도입될 수 있다(단계 508). 산소 공급원이 챔버로 도입되는 동안에, 암모니아가 챔버내로 공동-유동될 수 있다. 암모니아 및 물이 동일한 도관 및 유입구를 통해서 또는 별개의 도관 및 유입구를 통해서 공동-유동될 수 있다.
암모니아 및 산소 공급원의 펄스가 챔버로 제공된 후에, 챔버는 전술한 바와 같이 다시 펌핑되고 및/또는 퍼지될 수 있다(단계 510). 그 후에, 실리콘 전구체가 챔버내로 펄스화될 수 있다(단계 512). 전술한 방식과 유사한 방식으로, 암모니아가 실리콘 전구체와 함께 챔버내로 선택적으로 공동-유동될 수 있다. 실리콘 전구체 펄스에 이어서, 챔버가 다시 펌핑 및/또는 퍼지될 수 있다(단계 514).
펌핑 및 퍼지 후에, H2O와 같은 산화 공급원의 펄스가 챔버내로 도입된다(단계 516). 산소 공급원이 챔버로 도입되는 동안에, 암모니아가 챔버내로 공동-유동될 수 있다. 전술한 바와 같이, 암모니아 및 물이 동일한 또는 별개의 도관 및 유입구를 통해서 유동될 수 있다. 기판을 H2O와 같은 산화 공급원에 노출시킨 후에, 다른 펌핑 및/또는 퍼지 사이클이 전술한 바와 같은 동일한 조건하에서 실시될 수 있다(단계 518).
챔버를 펌핑 및/또는 퍼지한 후에, 하프늄 실리케이트의 두께가 소정 두께에 도달하였는지를 결정하기 위해 하프늄 실리케이트 층의 두께를 측정한다(단계 520). 소정 두께에 도달하지 못하였다면, 증착 시퀀스가 반복될 것이다. 소정 두께에 도달하였다면, 프로세스가 종료된다(단계 522).
기판 프로세싱과 관련하여, 다수의 기판이 프로세싱될 수 있다는 것을 이해하여야 한다. 예를 들어, 약 2개의 기판, 약 25개의 기판, 약 50개의 기판, 또는 약 100개의 기판이 배치식 챔버내에서 프로세싱될 수 있을 것이다. 또한, 피리딘 소크가 약 1 초 내지 약 90 초의 시간 동안, 또는 약 1 분 내지 약 20 분 동안 이루어질 수 있다. 그 대신에, 피리딘 소크가 약 30 초 내지 약 60 초 동안, 또는 약 20 분 내지 약 40 분 동안 이루어질 수 있다. 또 다른 대안적인 실시예에서, 피리딘 소크가 약 1 분 내지 약 40 분 동안 이루어질 수 있다.
별개의 도관 라인을 통해 피리딘 소크 및/또는 피리딘과 H2O의 공동 유동을 제공함으로써, 충분한 피리딘이 챔버 및 기판 표면에 도달할 수 있게 되고 또 피리딘이 촉매로서 작용하는 것을 보장하게 된다. H2O 산화 분위기에서 촉매로서 피리딘을 이용함으로써, 하프늄 실리케이트 ALD가 섭씨 약 150 내지 200 도의 온도에서 빠른 속도로 이루어질 수 있다. 또한, 암모니아 소크 및 암모니아와 산화 공급원의 공동-유동을 제공함으로써, 섭씨 약 150 내지 200 도의 온도에서 하프늄 실리케이트 층이 ALD에 의해 빠른 속도로 증착될 수 있다.
본 발명의 실시예들과 관련하여 설명하였지만, 본원 발명의 다른 실시예 또는 추가적인 실시예들도 특허청구범위에 의해서 결정되는 범위내에서 실시가능할 것이다.
도 1a는 본 발명의 일 실시예에 따른 장치(100)를 도시한 개략도이다.
도 1b는 본 발명의 일 실시예에 따른 인젝터 플리넘(108a-c)을 도시한 사시도이다.
도 2는 본 발명의 일 실시예에 따른 증착 방법을 도시한 흐름도(200)이다.
도 3은 본 발명의 다른 실시예에 따른 증착 방법을 도시한 흐름도(300)이다.
도 4는 본 발명의 또 다른 실시예에 따른 증착 방법을 도시한 흐름도(400)이다.
도 5는 본 발명의 또 다른 실시예에 따른 증착 방법을 도시한 흐름도(500)이다.

Claims (23)

  1. 고-k 실리케이트 증착 방법으로서:
    (a) 하나 이상의 기판을 챔버내에 위치시키는 단계;
    (b) 상기 하나 이상의 기판을 하프늄 전구체에 노출시키는 단계;
    (c) 상기 하나 이상의 기판을 제 1 피리딘 소크에 노출시키는 단계;
    (d) 상기 하나 이상의 기판을 제 1 산화 공급원에 노출시키는 단계;
    (e) 상기 하나 이상의 기판을 실리콘 전구체에 노출시키는 단계;
    (f) 상기 하나 이상의 기판을 제 2 피리딘 소크에 노출시키는 단계; 그리고
    (g) 상기 하나 이상의 기판을 제 2 산화 공급원에 노출시키는 단계; 를 순차적으로 포함하는
    고-k 실리케이트 증착 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 실리콘 전구체가 헥사클로로디실란 및 테트라키스-에톡시-실란으로 이루어진 그룹으로부터 선택되는
    고-k 실리케이트 증착 방법.
  4. 제 1 항에 있어서,
    상기 하나 이상의 기판을 퍼지 가스에 노출시키는 단계를 더 포함하는
    고-k 실리케이트 증착 방법.
  5. 제 1 항에 있어서,
    상기 하프늄이 TDMAH, TEMAH, TDEAH, 및 HfCl4 로 이루어진 그룹으로부터 선택되는
    고-k 실리케이트 증착 방법.
  6. 제 1 항에 있어서,
    상기 단계(b) 내지 단계(g)를 한차례 또는 그 이상 반복하는 단계를 더 포함하는
    고-k 실리케이트 증착 방법.
  7. 제 1 항에 있어서,
    상기 제 1 및 제 2 산화 공급원이 H2O, O3, O2, 또는 라디칼 산소로 이루어진 그룹으로부터 선택되는
    고-k 실리케이트 증착 방법.
  8. 삭제
  9. 제 1 항에 있어서,
    상기 실리콘 전구체가 헥사클로로디실란을 포함하는
    고-k 실리케이트 증착 방법.
  10. 제 1 항에 있어서,
    상기 실리콘 전구체가 테트라키스-에톡시-실란을 포함하는
    고-k 실리케이트 증착 방법.
  11. 고-k 실리케이트 증착 방법으로서:
    (a) 하나 이상의 기판을 챔버내에 위치시키는 단계;
    (b) 상기 하나 이상의 기판을 고-k 전구체에 노출시키는 단계;
    (c) 상기 하나 이상의 기판을 제 1 산화 공급원 및 피리딘에 노출시키는 단계로서, 상기 피리딘 및 제 1 산화 공급원은 개별적인 유입구들을 통해서 챔버내로 유동되는, 단계;
    (d) 상기 하나 이상의 기판을 실리콘 전구체에 노출시키는 단계; 그리고
    (e) 상기 하나 이상의 기판을 제 2 산화 공급원 및 피리딘에 노출시키는 단계로서, 상기 피리딘 및 제 2 산화 공급원이 개별적인 유입구들을 통해서 챔버내로 유동되는, 단계; 를 순차적으로 포함하는
    고-k 실리케이트 증착 방법.
  12. 삭제
  13. 제 11 항에 있어서,
    상기 실리콘 전구체가 헥사클로로디실란 및 테트라키스-에톡시-실란으로 이루어진 그룹으로부터 선택되는
    고-k 실리케이트 증착 방법.
  14. 제 11 항에 있어서,
    상기 하나 이상의 기판을 퍼지 가스에 노출시키는 단계를 더 포함하는
    고-k 실리케이트 증착 방법.
  15. 제 11 항에 있어서,
    상기 고-k 전구체가 TDMAH, TEMAH, TDEAH, 및 HfCl4 로 이루어진 그룹으로부 터 선택된 하프늄 전구체인
    고-k 실리케이트 증착 방법.
  16. 제 11 항에 있어서,
    상기 단계(b) 내지 단계(e)를 한차례 이상 반복하는 단계를 더 포함하는
    고-k 실리케이트 증착 방법.
  17. 제 11 항에 있어서,
    상기 제 1 및 제 2 산화 공급원이 H2O, O3, O2, 또는 라디칼 산소로 이루어진 그룹으로부터 선택되는
    고-k 실리케이트 증착 방법.
  18. 제 13 항에 있어서,
    상기 실리콘 전구체가 헥사클로로디실란을 포함하는
    고-k 실리케이트 증착 방법.
  19. 제 13 항에 있어서,
    상기 실리콘 전구체가 테트라키스-에톡시-실란을 포함하는
    고-k 실리케이트 증착 방법.
  20. 하프늄 실리케이트 증착 방법으로서:
    하나 이상의 기판을 챔버내에 위치시키는 단계;
    상기 하나 이상의 기판을 하프늄 전구체에 노출시키는 단계;
    상기 하나 이상의 기판을 물 및 피리딘에 노출시키는 단계로서, 상기 물 및 피리딘은 별개의 유입구를 통해서 상기 챔버내로 유동하는, 상기 하나 이상의 기판을 물 및 피리딘에 노출시키는 단계;
    상기 하나 이상의 기판을 헥사클로로디실란에 노출시키는 단계; 그리고
    상기 하나 이상의 기판을 물 및 피리딘에 노출시키는 단계로서, 상기 물 및 피리딘은 별개의 유입구를 통해서 상기 챔버내로 유동하는, 상기 하나 이상의 기판을 물 및 피리딘에 노출시키는 단계; 를 순차적으로 포함하는
    하프늄 실리케이트 증착 방법.
  21. 제 1 항에 있어서,
    상기 챔버내에 위치된 하나 이상의 기판이 둘 또는 그 이상의 기판을 포함하는
    고-k 실리케이트 증착 방법.
  22. 제 1 항에 있어서,
    상기 챔버내에 위치된 하나 이상의 기판이 50개의 기판을 포함하는
    고-k 실리케이트 증착 방법.
  23. 제 11 항에 있어서,
    상기 챔버내에 위치된 하나 이상의 기판이 둘 또는 그 이상의 기판을 포함하는
    고-k 실리케이트 증착 방법.
KR1020070112577A 2006-11-14 2007-11-06 고―k 물질의 촉매 보조 실리케이트 증착 방법 KR100954027B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/559,486 2006-11-14
US11/559,486 US7776395B2 (en) 2006-11-14 2006-11-14 Method of depositing catalyst assisted silicates of high-k materials

Publications (2)

Publication Number Publication Date
KR20080043706A KR20080043706A (ko) 2008-05-19
KR100954027B1 true KR100954027B1 (ko) 2010-04-20

Family

ID=38792030

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070112577A KR100954027B1 (ko) 2006-11-14 2007-11-06 고―k 물질의 촉매 보조 실리케이트 증착 방법

Country Status (6)

Country Link
US (1) US7776395B2 (ko)
EP (1) EP1925692A1 (ko)
JP (1) JP5219466B2 (ko)
KR (1) KR100954027B1 (ko)
CN (1) CN101187012A (ko)
TW (1) TWI359877B (ko)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678709B1 (en) * 2007-07-24 2010-03-16 Novellus Systems, Inc. Method of forming low-temperature conformal dielectric films
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5722008B2 (ja) * 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
JP5702657B2 (ja) * 2011-04-18 2015-04-15 東京エレクトロン株式会社 熱処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9165761B2 (en) * 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201435132A (zh) * 2013-02-22 2014-09-16 Applied Materials Inc 包含SiOC的膜的催化性原子層沉積
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6112928B2 (ja) * 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6192966B2 (ja) * 2013-04-01 2017-09-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9953830B2 (en) 2014-03-13 2018-04-24 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP2016058676A (ja) * 2014-09-12 2016-04-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6068539B2 (ja) * 2015-03-25 2017-01-25 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113243039B (zh) * 2018-12-20 2022-06-28 应用材料公司 生长掺杂iv族材料的方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JPWO2020189205A1 (ja) * 2019-03-18 2021-12-16 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびノズル
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030084110A (ko) * 2002-04-25 2003-11-01 주식회사 무한 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR20040077462A (ko) * 2003-02-27 2004-09-04 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
KR20050109036A (ko) * 2004-05-14 2005-11-17 삼성전자주식회사 원자층 증착 기술을 이용한 금속 실리케이트막 형성 방법

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6548047B1 (en) * 1997-09-15 2003-04-15 Bristol-Myers Squibb Medical Imaging, Inc. Thermal preactivation of gaseous precursor filled compositions
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6613383B1 (en) * 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6713177B2 (en) * 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
DE10057009A1 (de) * 2000-11-17 2002-05-29 Celanese Ventures Gmbh Non-Metallocene, Verfahren zur Herstellung von diesen und deren Verwendung zur Polymerisation von Olefinen
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US9376750B2 (en) * 2001-07-18 2016-06-28 Regents Of The University Of Colorado, A Body Corporate Method of depositing an inorganic film on an organic polymer
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
KR20140096288A (ko) * 2002-11-15 2014-08-05 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
JP2004176081A (ja) * 2002-11-25 2004-06-24 Matsushita Electric Works Ltd 原子層堆積法による光学多層膜の製造方法
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
DE10303413B3 (de) * 2003-01-29 2004-08-05 Infineon Technologies Ag Verfahren zur Herstellung eines Oxidkragens für einen Grabenkondensator
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20050227007A1 (en) * 2004-04-08 2005-10-13 Bradley Alexander Z Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US7052990B2 (en) * 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7592251B2 (en) * 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030084110A (ko) * 2002-04-25 2003-11-01 주식회사 무한 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR20040077462A (ko) * 2003-02-27 2004-09-04 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
KR20050109036A (ko) * 2004-05-14 2005-11-17 삼성전자주식회사 원자층 증착 기술을 이용한 금속 실리케이트막 형성 방법

Also Published As

Publication number Publication date
TWI359877B (en) 2012-03-11
EP1925692A1 (en) 2008-05-28
JP5219466B2 (ja) 2013-06-26
CN101187012A (zh) 2008-05-28
KR20080043706A (ko) 2008-05-19
US20080113096A1 (en) 2008-05-15
US7776395B2 (en) 2010-08-17
JP2008142702A (ja) 2008-06-26
TW200831696A (en) 2008-08-01

Similar Documents

Publication Publication Date Title
KR100954027B1 (ko) 고―k 물질의 촉매 보조 실리케이트 증착 방법
KR100980900B1 (ko) 저온 원자층 증착 SiO₂
US8753984B2 (en) Method and apparatus for forming silicon nitride film
KR101193628B1 (ko) 저온 실리콘 화합물 증착
KR101454603B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101977522B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
CN1712560B (zh) 使用垂直cvd装置的cvd方法
KR20180026685A (ko) 실리콘 옥사이드 박막의 고온 원자층 증착
KR101509453B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
CN111261503A (zh) 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
KR20180014661A (ko) 질화막의 형성 방법 및 형성 장치
KR20080050510A (ko) 배치 ald 반응기에 대한 처리 공정
EP2193541A1 (en) Method of forming silicon-containing films
KR20140034071A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20220003470A (ko) 불소 억제제를 사용하여 실리콘 질화물과 실리콘 산화물을 증착하는 방법
JP6506666B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US10720325B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
WO2017056155A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
CN112640061A (zh) 基板处理装置、半导体装置的制造方法及程序
US11970769B2 (en) Cyclical deposition methods

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee