CN113243039B - 生长掺杂iv族材料的方法 - Google Patents

生长掺杂iv族材料的方法 Download PDF

Info

Publication number
CN113243039B
CN113243039B CN201980078685.2A CN201980078685A CN113243039B CN 113243039 B CN113243039 B CN 113243039B CN 201980078685 A CN201980078685 A CN 201980078685A CN 113243039 B CN113243039 B CN 113243039B
Authority
CN
China
Prior art keywords
dopant
substrate
precursor
semiconductor film
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980078685.2A
Other languages
English (en)
Other versions
CN113243039A (zh
Inventor
黄奕樵
埃罗尔·安东尼奥·C·桑切斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113243039A publication Critical patent/CN113243039A/zh
Application granted granted Critical
Publication of CN113243039B publication Critical patent/CN113243039B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文讨论了用于在半导体装置制造期间通过将基板浸泡在掺杂剂中而形成膜的方法。使用至少一种掺杂剂前驱物在处理腔室中执行掺杂剂浸泡达预定的时间段,以在基板上形成掺杂剂层。随后净化处理腔室中的至少一种掺杂剂前驱物。在净化处理腔室之后,将至少一种膜前驱物引入处理腔室中。在基板上外延形成膜,以具有目标电阻率、掺杂剂浓度和/或厚度的至少一者。后处理操作可包括对半导体膜进行退火或图案化,或在其上沉积附加层。

Description

生长掺杂IV族材料的方法
技术领域
本文描述的实施方式大体关于半导体装置的制造,包括在基板上生长IV族膜。
背景技术
半导体装置包括通过沉积和图案化具有不同组成和厚度的膜来制造的膜堆叠。可将半导体膜外延沉积在基板(诸如硅晶片)上,或在先前形成和/或图案化的膜上。在膜沉积期间,可使用一种或多种掺杂剂来形成掺杂的半导体膜。传统地,通过以共同流入(co-flowed)的方式将一种或多种掺杂剂前驱物和一种或多种膜前驱物引入处理腔室中来形成掺杂的半导体膜。共同流入的掺杂剂前驱物和膜前驱物会导致掺杂剂与沉积膜的偏析(segregation),特别是当掺杂剂在沉积膜中具有低溶解度时。掺杂剂的偏析会阻碍进一步的操作,包括在掺杂膜之上形成其他膜。也可使用掺杂剂注入来形成掺杂的半导体膜。然而,掺杂剂注入使用高温,且因此具有比一些半导体装置制造处理可用的热预算更高的热预算。
因此,存在有用于一种制造掺杂半导体膜的改进系统和方法的需求。
发明内容
本公开内容大体上关于用于形成半导体膜的系统和方法。
在一个或多个实施方式中,一种形成半导体膜的方法包括以下步骤:当基板位于处理腔室中时,将包括掺杂剂的至少一种掺杂剂前驱物引入处理腔室;将基板浸泡在至少一种掺杂剂前驱物中达第一预定时间段,以在基板上形成掺杂剂层;从处理腔室净化至少一种掺杂剂前驱物,以从处理腔室移除至少一种掺杂剂前驱物,同时掺杂剂层保留在基板上;及将半导体膜前驱物引入处理腔室,以在基板上形成半导体膜,半导体膜包括IV族元素并具有从约1.0×1019原子/cm3至约5.0×1021原子/cm3的掺杂剂的浓度。
在一个或多个实施方式中,一种形成半导体膜的方法包括以下步骤:将基板放置在处理腔室中;将包含掺杂剂的至少一种掺杂剂前驱物引入处理腔室;将基板浸泡在至少一种掺杂剂前驱物中达第一预定时间段,以在基板上形成掺杂剂层,在浸泡期间基板被保持在从200℃至450℃的温度下;从处理腔室净化至少一种掺杂剂前驱物,以从处理腔室移除至少一种掺杂剂前驱物,同时掺杂剂层保留在基板上;及将半导体膜前驱物引入处理腔室,以在基板上形成半导体膜,半导体膜包括IV族元素并具有从约1.0×1019原子/cm3至约5.0×1021原子/cm3的掺杂剂的浓度,其中掺杂剂前驱物和半导体膜前驱物在非重叠时间段内存在于处理腔室中。
在一个或多个实施方式中,一种形成半导体膜的方法包括以下步骤:当基板位于处理腔室中时,将包括掺杂剂的至少一种掺杂剂前驱物引入处理腔室;将基板浸泡在至少一种掺杂剂前驱物中达第一预定时间段,以在基板上形成掺杂剂层;从处理腔室净化至少一种掺杂剂前驱物,以从处理腔室移除至少一种掺杂剂前驱物,同时掺杂剂层保留在基板上;将膜前驱物引入处理腔室,以在基板上形成膜,膜具有从约1.0×1019原子/cm3至约5.0×1021原子/cm3的掺杂剂的浓度;及重复引入至少一种掺杂剂前驱物的步骤、浸泡基板的步骤、净化至少一种掺杂剂前驱物的步骤及引入半导体膜前驱物的步骤多个迭代。
附图说明
为了可详细地理解本公开内容的上述特征的方式,可通过参考实施方式来获得对上面简要概述的本公开内容的更详细的描述,其中一些实施方式显示在附图中。然而,应当注意,附图仅显示了本公开内容的典型实施方式,且因此不应被认为是对其范围的限制,因为本公开内容可允许其他等效的实施方式。
图1是根据本公开内容的实施方式的基板处理系统的示意图。
图2是根据本公开内容的实施方式的另一基板处理系统的示意图。
图3是根据本公开内容的实施方式的在基板上形成膜的方法的流程图。
图4A至图4C是根据本公开内容的实施方式的在基板上形成半导体膜的示意图。
为促进理解,在可能的情况下使用了相同的附图标记来表示附图中共有的相同元件。可预期的是,一个实施方式的元件和特征可有益地并入其他实施方式中,而无需进一步叙述。
具体实施方式
本公开内容的实施方式涉及形成掺杂的半导体膜,包括掺杂的IV族半导体膜。IV族半导体膜包括硅(Si)、锗(Ge)及其组合。使用本文讨论的系统和方法,基板被放置在处理腔室中以进行处理,并进行掺杂剂浸泡处理。在引入半导体膜前驱物之前,至少一种掺杂剂前驱物被引入处理腔室。在一些示例中,两种或更多种掺杂剂以同时或交错的方式被引入处理腔室。基板被浸泡在掺杂剂前驱物中达预定的时间段。
在形成掺杂剂层之后,处理腔室被净化以移除掺杂剂前驱物。净化之后,一种或多种半导体膜前驱物被引入处理腔室。因此,掺杂剂前驱物气体不会与半导体膜前驱物同时地共同流入处理腔室中(如,掺杂剂前驱物和半导体膜前驱物在分开的、不重叠的时间段内被提供至处理腔室)。在掺杂剂层上方,由一种或多种膜前驱物在基板上外延形成半导体膜。随后,基板可受到热处理,进一步的膜形成和/或膜图案化。由掺杂剂浸泡处理得到的半导体膜具有预定的掺杂剂浓度水平,并促进了在掺杂的半导体膜的顶部上的后续膜的形成。
在一些示例中,基板可具有在其上形成的一个或多个半导体膜,可使用本文讨论的浸泡和外延半导体膜形成的迭代来形成每个半导体膜。取决于示例,当形成多个半导体膜时,在用以形成多个半导体膜的第一半导体膜的第一迭代中使用的(多种)掺杂剂可与用以形成多个半导体膜的第二半导体膜所使用的(多种)掺杂剂不同。因此,可由多个半导体膜形成一个或多个膜,每个半导体膜是在将基板浸泡至相同、相似或不同的掺杂剂或掺杂剂类型之后形成的。
图1是根据本公开内容的实施方式的基板处理系统100的示意图。基板处理系统100包括处理腔室102。在一些示例中,处理腔室102是集成腔室群集工具的一部分,集成腔室群集工具包括围绕传送腔室布置的多个处理腔室。处理腔室102具有设置在处理腔室102的处理容积110内的基板支撑件104。在一些示例中,基板支撑件104是基板支撑基座。处理容积110例如在基板支撑件104和与基板支撑件104相对设置的气体分配组件116之间被界定。在一些实施方式中,基板支撑件104可包括将基板106保持或支撑在基板支撑件104的顶表面上的机构。示例性的基板保持机构可包括静电吸盘、真空吸盘、基板保持夹或类似者。
基板支撑件104可进一步包括用于控制基板温度的机构,诸如加热和/或冷却机构,诸如基板支撑件加热元件108。在一些示例中,一个或多个功率源126可经构造以经由基板支撑件加热元件108将基板支撑件104加热到预定温度。基板支撑件104可附加地或替代地包括用以控制物种通量的机构和/或用以控制靠近基板106的表面的离子能量的机构。
可选地,在一些示例中,基板支撑件104可包括电极136和一个或多个功率源,诸如第一偏压功率源140和第二偏压功率源142。第一偏压功率源140和第二偏压功率源142的每一个分别经由第一匹配网络144和第二匹配网络146耦合到电极136。上述第一偏压功率源140和第二偏压功率源142可能够以约2MHz、或约13.56MHz、或约60Mhz的频率产生高达12,000W的能量。第一偏压功率源140和第二偏压功率源142可各自提供连续功率或脉冲功率。在一些实施方式中,第一偏压功率源140和第二偏压功率源142各自可替代地为DC或脉冲DC源。另外地或替代地,可预期的是可利用远程等离子体源来产生等离子体。
在一个或多个实施方式中,气体分配组件116可由铝制成,且在一些示例中,可由氧化物(诸如氧化铝(Al2O3)涂布。气体分配组件116可耦合到RF功率源152,RF功率源152经构造以在处理腔室102内的操作之前、期间和/或之后向气体分配组件116提供功率。气体分配组件116包括穿过其中形成的多个孔隙132。多个孔隙132经构造以将前驱物引入处理容积110。气体分配组件116的多个孔隙132可以各种配置跨越气体分配组件116的整个表面进行布置,包括同心环、环的集群、随机放置的集群或其他几何形状。在替代实施方式中,可预期的是,可使用产生与基板106的上表面平行的水平流体流的交叉流注射器将处理气体引入处理容积110中。
在一个示例中,一个或多个气体源112、128经由气体歧管114耦接到处理腔室102。气体歧管114流体耦接到气体分配组件116,且一种或多种气体从一个或多个气体源112、128引入处理容积110。一个或多个气体源112、128的每一个包括载气、掺杂剂前驱物、半导体膜前驱物、其组合或其他气体的一种或多种。可预期一个或多个气体源112、128可以可选地利用液体前驱物源。
在一些示例中,远程等离子体源(RPS)150可用以经由气体歧管114将等离子体输送到处理容积110。处理腔室102还包括沿着处理容积110的侧壁122设置的衬垫120。一个或多个排气系统118耦接到处理腔室102,并用以在处理期间从处理容积110移除过量的处理气体或副产物。
图2是根据本文描述的实施方式的处理腔室202的横截面图。处理腔室202经构造以用于交叉流沉积操作。处理腔室202包括腔室主体204、支持系统206和控制器208。腔室主体204具有侧壁210和底壁212。处理腔室202包括上窗口226和下窗口228,在上窗口226和下窗口228之间界定处理区域214。用于支撑基板的基板支撑件216设置在内部处理区域214中。在一个或多个实施方式中,基板支撑件216是基座,且可由石英、碳化硅或其他材料制成。基板支撑件216由支撑柱218支撑,支撑柱218与从轴244延伸的支撑臂220连接。在处理腔室202的操作期间,可通过基板升降臂222通过升降销224将设置在基板支撑件216上的基板升起。替代地,可省略基板支撑件216,且可将基板直接支撑在支撑柱218上。沉积处理通常发生在内部处理区域214内的设置在基板支撑件216上的基板的上表面上。
上衬垫248设置在上窗口226的下方,并且适以防止腔室部件上的不期望的沉积。上衬垫248定位成邻近预热环230,从而在上衬垫248和预热环230之间界定流体流动路径。预热环230可移除地设置在耦接至侧壁210的环支撑件232上。当处理气体通过形成在上衬垫248附近的处理气体入口236而流入处理腔室202中时,加热的预热环230激活处理气体。处理气体通过形成在与处理气体入口236相对的上衬垫248附近的处理气体出口242离开处理腔室202。以这种方式,处理气体可平行于基板的上表面流动。灯240促进了处理气体(包括沉积前驱物或掺杂剂)在基板上的热分解,以在基板上形成一层或多层。
灯240适以向位于处理腔室202内的部件提供热能。例如,灯240可适以向基板、基板支撑件216和预热环230提供热能。下窗口228可由光学透明材料(诸如石英)形成,以促进以由灯240提供的照射的一个或多个波长范围的通过或热辐射通过下窗口228。
支持系统206包括用以执行和监视预定处理(诸如处理腔室202中的膜的生长)的部件。支持系统206包括气体面板、气体分配管道、真空和排气子系统、功率供应器和处理控制仪器中的一个或多个。控制器208耦合到支持系统206,并且适以控制处理腔室202和支持系统206。控制器208包括中央处理单元(CPU)、存储器和支持电路。驻留在控制器208中的指令可被执行以控制处理腔室202的操作。处理腔室202适以执行一个或多个膜形成或沉积处理。
尽管图1和图2显示了处理腔室的示例,但是可预期,可利用其他处理腔室。例如,可利用可从加州圣克拉拉市的应用材料公司获得的处理腔室(诸如外延沉积腔室)。可预期来自其他制造商的处理腔室也可用以执行本文公开的方法。
图3是在基板上形成半导体膜的方法300。图4A至图4C是经历方法300的基板的示意图。在方法300中,在操作302处,准备如图4A所示的基板402,以用于包括移除天然氧化物或其他杂质的膜形成。基板402可由包括硅(Si)、锗(Ge)或Si和Ge的组合的材料形成。在一些示例中,基板402是半导体晶片。在一些示例中,基板402是包括介电表面的图案化基板,介电表面由氮化硅(SiN)、二氧化硅(SiO2)或其他材料形成。操作302可包括高温烘烤操作和/或使用一种或多种预清洁气体的预清洁操作。在这个示例中,在操作302处执行预清洁操作的情况下,可单独使用NH3气体或与来自远程等离子体源(诸如远程等离子体源)的NF3等离子体组合使用,以促进基板的清洁。在另一示例中,在操作302处执行高温烘烤操作,以准备基板402供进一步处理。在高温烘烤操作期间,基板402在从约700℃至约1100℃的温度下曝露于氢气(H2)。
在操作304处,将基板402定位在处理腔室(例如,外延沉积腔室)中的基板支撑件上。处理腔室可类似于图1中的处理腔室102、图2中的处理腔室202或可从加州圣克拉拉市的应用材料公司获得的其他处理腔室(诸如
Figure BDA0003089332240000061
EPI腔室、
Figure BDA0003089332240000062
RP EPI腔室或
Figure BDA0003089332240000063
ProntoTM腔室)配置。
在方法300中的操作306处,将一种或多种掺杂剂前驱物引入处理腔室以浸泡基板402。在一个示例中,掺杂剂前驱物包括由诸如三甲基镓或三乙基镓的源提供的镓(Ga)。在这样的示例中,利用蒸发器或起泡器来促进前驱物向处理腔室的处理区域的输送。在其他示例中,在操作306处引入的一种或多种掺杂剂前驱物可为气态前驱物。附加地或替代地,(多种)掺杂剂前驱物可包括硼(B)、铟(In)、锡(Sn)、锗(Ge)、磷(P)或砷(As)的一种或多种。一种或多种掺杂剂前驱物可在操作306处以小于约5sccm(例如,从约1sccm至约5sccm)的流速引入。在操作306中引入两种或更多种掺杂剂前驱物的示例中,可以相同的流速引入每种掺杂剂前驱物。在操作306处引入两种或更多种掺杂剂前驱物的另一示例中,至少一种掺杂剂前驱物可以与另一种不同的前驱物的流速不同的流速引入。可在操作306中引入一种或多种掺杂剂前驱物,同时基板402被保持在从约200℃至约450℃(诸如约360℃至约420℃)的温度下。在操作306引入的一种或多种掺杂剂可包括在IV族元素或IV族元素的组合中各自显示1×1020或更低的溶解度的掺杂剂。基板402可在操作306处浸泡在一种或多种掺杂剂中达约30秒到约5分钟的时间段。
当在操作306处执行掺杂剂浸泡时,由纯掺杂剂形成掺杂剂层404,如图4B中的基板402上所示。可将掺杂剂层404形成至目标厚度。在一个示例中,掺杂剂层404的目标厚度可为几埃。例如,掺杂剂层404可具有在约2埃至约10埃(诸如约2埃至约5埃)的范围内的厚度。目标厚度可被选择以在随后在掺杂剂层404的顶部上形成的一个或多个膜中形成最小掺杂剂浓度水平。在一个示例中,在操作306期间,基板402的温度为约200℃至约450℃。在一些示例中,可在操作306期间将处理腔室加压至(例如)低于约200Torr的压力。
在操作306处形成掺杂剂层404之后,在操作308处从处理腔室净化一种或多种掺杂剂前驱物,同时掺杂剂层404保留在基板上。在操作308处的处理腔室净化的一个示例中,以约5标准升/分钟(SLM)至约30SLM的流速使用净化气体来净化一种或多种掺杂剂前驱物。在操作308处的净化时间可取决于处理容积、(多种)掺杂剂前驱物类型、净化气体的流速和/或其他处理或操作参数而变化。在引入其他前驱物(诸如膜形成前驱物)之前,净化从处理腔室移除一种或多种掺杂剂前驱物。净化气体的示例包括(但不限于)稀有气体和双原子氮。
在操作310处,在操作308处净化一种或多种掺杂剂前驱物之后,如图4C所示形成半导体膜406。半导体膜406可由一种或多种IV族元素形成,并形成在基板402上的掺杂剂层404上。在一个示例中,半导体膜406包括硅和锗的一种或两种。在操作310处,通过将一种或多种膜前驱物引入处理容积来形成半导体膜406。随着半导体膜406形成,掺杂剂层404的掺杂剂原子迁移到半导体膜406中,从而在半导体内产生预定的掺杂剂浓度,而不会使掺杂剂原子过度偏析到半导体膜406的上表面。在操作310处引入的半导体膜前驱物可包括硅烷(SiH4)、锗烷(GeH4)或其变体或组合。当处理腔室的压力小于约200Torr时,可在操作310以小于约500每分钟标准立方厘米(sccm)的流速引入(多种)半导体膜前驱物。可在操作310处使用附加气体(诸如载气)以促进半导体膜前驱物的流动。示例性的载气包括氢气(H2)和氩气。
在操作308处净化一种或多种掺杂剂前驱物之后,在操作310处引入(多种)半导体膜前驱物。因此,(多种)半导体膜前驱物不与一种或多种掺杂剂前驱物共同流入,除了掺杂剂层404之外,半导体膜前驱物也不与一种或多种掺杂剂前驱物同时存在于处理腔室中。在操作310处的半导体膜406的外延形成期间,基板402的温度可基本上类似于在操作306处的基板402的温度。也就是,在操作310期间的基板402的温度可从约200℃到约450℃,以便减轻掺杂剂穿过在操作310处形成的半导体膜406的过度迁移(例如,以减小掺杂剂扩散穿过半导体膜406的可能性和/或程度)。尽管发生了从纯掺杂剂层404穿过半导体膜406的掺杂剂迁移,但是掺杂剂并未迁移通过半导体膜406而显著地从半导体膜406偏析(扩散通过半导体膜406)。掺杂剂通过并离开半导体膜406的过度扩散可将半导体膜406的掺杂剂浓度降低到目标浓度以下。掺杂剂到半导体膜406中的迁移产生了具有高于最小浓度(诸如1.0×1019原子/cm3)的掺杂剂浓度的掺杂层。在各种示例中,掺杂剂浓度在整个半导体膜406中可为均匀的或不均匀的。类似地,取决于实施方式,在整个半导体膜406中掺杂剂浓度可为均匀的或不均匀的。在一个示例中,掺杂剂在半导体膜406的下部(与基板402相邻)的浓度比在半导体膜406的上部的浓度大。在这样的示例中,浓度可从半导体膜406的下部到半导体膜406的上部线性或非线性地减小。
另外,尽管在图4C中显示了掺杂剂层404完全扩散到半导体膜406中,但是可预期,在一些示例中,一些掺杂剂层404可保留在基板402的上表面中。在这种情况下,相对薄的掺杂剂层可保持为介于基板402和半导体膜406之间。在其他示例中,掺杂剂层404可在形成半导体膜406期间完全或基本上完全扩散到半导体膜406中。
在操作310处形成的半导体膜406(其中具有扩散的(多种)掺杂剂)具有从约0.2mOhm-cm到约3mOhm-cm的电阻率和从约1.0×1019原子/cm3到约5.0×1021原子/cm3的掺杂剂浓度。在操作306处引入两种或更多种掺杂剂的示例中,取决于在方法300中制造的装置的类型,半导体膜406中每种掺杂剂的浓度可相同或不同。取决于应用的不同,半导体膜406的厚度可从约
Figure BDA0003089332240000091
到大于
Figure BDA0003089332240000092
变化。类似地,在操作310处用于半导体膜形成的时间可从约60秒变化至约10分钟或更长。在操作310期间,半导体膜406的外延生长速率可为从约
Figure BDA0003089332240000093
至约
Figure BDA0003089332240000094
或更大。
在使用共同流入的传统半导体制造操作中,以同时或重叠的方式引入掺杂剂前驱物和半导体前驱物,从而将半导体膜沉积为掺杂膜。然而,共同流入导致过量的掺杂剂迁移。相反,使用本文的系统和方法,在其上形成半导体膜406的纯掺杂剂层404允许掺杂剂迁移到半导体膜406中,从而减轻了掺杂剂从半导体膜406中迁移出来。来自掺杂剂层404的掺杂剂迁移形成具有等于或高于足以用于进一步处理操作的最小掺杂剂浓度水平(如1.0×1019原子/cm3)的半导体膜406。取决于实施方式,可以迭代的方式将操作306、308和310重复一个或多个循环。在一个示例中,对于操作306、308和310的多个循环,在每个循环中在操作306处使用相同的掺杂剂或掺杂剂的组合。在另一示例中,操作306、308和310的多个循环的至少一个循环在操作306处使用与多个循环的另一个循环不同的掺杂剂。
在操作312处,包括掺杂的半导体膜406以及在一些示例中,在操作306、308和310的迭代中形成的额外半导体膜的基板402可经历一个或多个后处理操作。在操作312处的后处理可在与操作302-310相同的处理腔室中,或在不同的处理腔室中,和/或在集成工具(同样包括在操作302-310中使用的处理腔室)中包括的不同处理腔室中发生。在一些示例中,后处理可包括热处理,诸如在从400℃到750℃的温度下的退火。在其他示例中,操作312处的后处理可包括形成其他膜或图案化膜。尽管在后处理期间一些掺杂剂层404可迁移到半导体膜406的顶表面,但是在后处理期间可充分保持半导体膜406的掺杂剂水平,从而半导体装置在商业上仍然可行。
本公开内容的益处包括形成具有改善的掺杂剂浓度均匀性的外延半导体膜。相反,传统掺杂的外延膜(诸如在共同流入状态期间形成的那些外延膜)导致掺杂剂迁移到半导体层的外延膜的顶部,从而导致半导体层的掺杂剂浓度小于目标浓度。因此,在传统膜中,与掺杂外延膜的表面相邻的材料具有比外延膜的其余部分更大的掺杂剂浓度。在生长期间共同流入的掺杂剂由于其在表面的较低能量状态以及从近表面或亚表面区域迁移的最小能量障壁而易于在表面处偏析。相反地,远离表面掩埋的掺杂剂(诸如使用本文讨论的浸泡方法而形成的掺杂剂)在表面处达到低能态之前,仍必须克服穿过膜厚度的较高扩散障壁。然而,在本公开内容中,在外延膜形成之前,当基板经历掺杂剂浸泡时,掺杂剂原子集中在基板的上表面上的纯层中。因此,与通过传统方式形成的膜相比,随着半导体膜形成,掺杂剂原子迁移到半导体膜中,导致保留在外延膜内的掺杂剂浓度增加。
尽管前述内容涉及本公开内容的实施方式,但是在不背离本公开内容的基本范围的情况下,可设计本公开内容的其他和进一步的实施方式,且本公开内容的范围由随附的权利要求书确定。

Claims (20)

1.一种形成半导体膜的方法,包含以下步骤:
当基板位于处理腔室中时,将包含掺杂剂的至少一种掺杂剂前驱物引入所述处理腔室;
将所述基板浸泡在所述至少一种掺杂剂前驱物中达第一预定时间段,以在所述基板上形成掺杂剂层;
从所述处理腔室净化所述至少一种掺杂剂前驱物,以从所述处理腔室移除所述至少一种掺杂剂前驱物,同时所述掺杂剂层保留在所述基板上;及
将半导体膜前驱物引入所述处理腔室,以在所述基板上形成半导体膜,所述半导体膜包括IV族元素并具有从1.0×1019原子/cm3至5.0×1021原子/cm3的所述掺杂剂的浓度。
2.根据权利要求1所述的方法,其中所述半导体膜具有从0.2mOhm-cm至3mOhm-cm的电阻率。
3.根据权利要求1所述的方法,进一步包含以下步骤:将所述基板保持在从200℃至450℃的温度下。
4.根据权利要求1所述的方法,其中以小于5sccm的流速引入所述至少一种掺杂剂前驱物。
5.根据权利要求1所述的方法,其中所述第一预定时间段是从30秒到5分钟。
6.根据权利要求1所述的方法,其中所述至少一种掺杂剂前驱物包含镓、铟、硼、磷、砷、锡、锗或它们的组合。
7.根据权利要求1所述的方法,其中引入所述至少一种掺杂剂前驱物的步骤、浸泡所述基板的步骤、净化所述至少一种掺杂剂前驱物的步骤及引入所述半导体膜前驱物的步骤被重复多个迭代,以形成一个或多个半导体膜。
8.根据权利要求1所述的方法,其中所述引入至少一种掺杂剂前驱物的步骤包含引入两种或更多种掺杂剂前驱物。
9.根据权利要求1所述的方法,其中所述至少一种掺杂剂前驱物不与所述半导体膜前驱物同时共同流入所述处理腔室中。
10.根据权利要求1所述的方法,进一步包含以下步骤:通过预清洁操作来准备用于膜形成的所述基板。
11.根据权利要求1所述的方法,其中在所述掺杂剂层和所述半导体膜之间没有视觉上的区别。
12.根据权利要求1所述的方法,其中以小于500sccm的流速引入所述半导体膜前驱物。
13.根据权利要求1所述的方法,进一步包含以下步骤:在所述浸泡期间将所述处理腔室保持在小于200Torr的压力下。
14.一种形成半导体膜的方法,包含以下步骤:
将基板放置在处理腔室中;
将包含掺杂剂的至少一种掺杂剂前驱物引入所述处理腔室;
将所述基板浸泡在所述至少一种掺杂剂前驱物中达第一预定时间段,以在所述基板上形成掺杂剂层,在所述浸泡期间所述基板被保持在从200℃至450℃的温度下;
从所述处理腔室净化所述至少一种掺杂剂前驱物,以从所述处理腔室移除所述至少一种掺杂剂前驱物,同时所述掺杂剂层保留在所述基板上;及
将半导体膜前驱物引入所述处理腔室,以在所述基板上形成半导体膜,所述半导体膜包括IV族元素并具有从1.0×1019原子/cm3至5.0×1021原子/cm3的所述掺杂剂的浓度,其中所述掺杂剂前驱物和所述半导体膜前驱物在非重叠时间段内存在于所述处理腔室中。
15.根据权利要求14所述的方法,其中在所述掺杂剂层和所述半导体膜之间没有视觉上的区别。
16.根据权利要求14所述的方法,进一步包含以下步骤:在引入所述半导体膜前驱物期间将所述基板保持在从200℃到450℃的温度下。
17.根据权利要求14所述的方法,进一步包含以下步骤:将所述处理腔室保持在小于200Torr的压力下。
18.一种形成半导体膜的方法,包含以下步骤:
当基板位于处理腔室中时,将包含掺杂剂的至少一种掺杂剂前驱物引入所述处理腔室;
将所述基板浸泡在所述至少一种掺杂剂前驱物中达第一预定时间段,以在所述基板上形成掺杂剂层;
从所述处理腔室净化所述至少一种掺杂剂前驱物,以从所述处理腔室移除所述至少一种掺杂剂前驱物,同时所述掺杂剂层保留在所述基板上;
将膜前驱物引入所述处理腔室,以在所述基板上形成膜,所述膜具有从1.0×1019原子/cm3至5.0×1021原子/cm3的所述掺杂剂的浓度;及
重复引入所述至少一种掺杂剂前驱物步骤、浸泡所述基板的步骤、净化所述至少一种掺杂剂前驱物的步骤及引入所述膜前驱物的步骤多个迭代。
19.根据权利要求18所述的方法,其中所述至少一种掺杂剂前驱物和所述膜前驱物在非重叠时间段内存在于所述处理腔室中。
20.根据权利要求18所述的方法,进一步包含以下步骤:
在所述浸泡和引入所述膜前驱物期间,将所述基板保持在从200℃至450℃的温度下;及
在所述浸泡期间,将所述处理腔室保持在200Torr以下的压力下。
CN201980078685.2A 2018-12-20 2019-12-05 生长掺杂iv族材料的方法 Active CN113243039B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862782397P 2018-12-20 2018-12-20
US62/782,397 2018-12-20
PCT/US2019/064588 WO2020131392A1 (en) 2018-12-20 2019-12-05 Method of growing doped group iv materials

Publications (2)

Publication Number Publication Date
CN113243039A CN113243039A (zh) 2021-08-10
CN113243039B true CN113243039B (zh) 2022-06-28

Family

ID=71097791

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980078685.2A Active CN113243039B (zh) 2018-12-20 2019-12-05 生长掺杂iv族材料的方法

Country Status (5)

Country Link
US (1) US11031241B2 (zh)
KR (1) KR102357328B1 (zh)
CN (1) CN113243039B (zh)
TW (1) TWI719768B (zh)
WO (1) WO2020131392A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220130620A (ko) 2021-03-18 2022-09-27 에이에스엠 아이피 홀딩 비.브이. 구조체를 형성하는 방법, 반도체 처리 시스템, 및 반도체 소자 구조체

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332689A (en) * 1993-02-17 1994-07-26 Micron Technology, Inc. Method for depositing low bulk resistivity doped films
CN101257039A (zh) * 2007-03-01 2008-09-03 国际商业机器公司 半导体结构及其制造方法
CN104576307A (zh) * 2013-10-10 2015-04-29 有研新材料股份有限公司 一种消除12英寸单晶硅外延片表面微颗粒团聚的方法
CN106663607A (zh) * 2014-06-13 2017-05-10 应用材料公司 外延腔室上的双辅助掺杂剂入口
CN107533953A (zh) * 2015-03-03 2018-01-02 太阳能爱迪生半导体有限公司 具有可控膜应力的在硅衬底上沉积电荷捕获多晶硅膜的方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100607756B1 (ko) * 2004-08-19 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 텅스텐 콘택 전극 제조 방법
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
KR101057188B1 (ko) * 2008-11-11 2011-08-16 주식회사 하이닉스반도체 Pmos 트랜지스터의 제조방법 및 이를 이용한 반도체 소자의 듀얼 게이트 형성방법
US20110088760A1 (en) * 2009-10-20 2011-04-21 Applied Materials, Inc. Methods of forming an amorphous silicon layer for thin film solar cell application
US9171912B2 (en) 2010-11-11 2015-10-27 Zn Technology, Inc. Group IV element doped P-type Zn(Mg,Cd,Be)O(S,Se) semiconductor
TWI521600B (zh) * 2011-06-03 2016-02-11 應用材料股份有限公司 在矽基材上形成高生長速率低電阻率的鍺膜之方法〈一〉
US9218973B2 (en) * 2012-06-15 2015-12-22 Applied Materials, Inc. Methods of doping substrates with ALD
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
TWI559978B (en) * 2014-12-04 2016-12-01 Wen-San Jhou Sealant dispenser
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
FR3055467B1 (fr) * 2016-08-26 2018-09-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d’une couche contrainte en tension a base de germanium etain
FR3071854A1 (fr) * 2017-10-03 2019-04-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un composant electronique a heterojonction muni d'une couche barriere enterree
WO2020131296A1 (en) 2018-12-21 2020-06-25 Applied Materials, Inc. Processing system and method of forming a contact

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332689A (en) * 1993-02-17 1994-07-26 Micron Technology, Inc. Method for depositing low bulk resistivity doped films
CN101257039A (zh) * 2007-03-01 2008-09-03 国际商业机器公司 半导体结构及其制造方法
CN104576307A (zh) * 2013-10-10 2015-04-29 有研新材料股份有限公司 一种消除12英寸单晶硅外延片表面微颗粒团聚的方法
CN106663607A (zh) * 2014-06-13 2017-05-10 应用材料公司 外延腔室上的双辅助掺杂剂入口
CN107533953A (zh) * 2015-03-03 2018-01-02 太阳能爱迪生半导体有限公司 具有可控膜应力的在硅衬底上沉积电荷捕获多晶硅膜的方法

Also Published As

Publication number Publication date
WO2020131392A1 (en) 2020-06-25
US11031241B2 (en) 2021-06-08
TW202039921A (zh) 2020-11-01
KR20210094663A (ko) 2021-07-29
KR102357328B1 (ko) 2022-02-08
CN113243039A (zh) 2021-08-10
TWI719768B (zh) 2021-02-21
US20200203149A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
KR102273916B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US7462239B2 (en) Low temperature load and bake
JP5005170B2 (ja) 超高品質シリコン含有化合物層の形成方法
TWI508181B (zh) 高遷移率單塊p-i-n二極體
US20150311061A1 (en) Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
US20030215963A1 (en) Plasma etch resistant coating and process
US20180254179A1 (en) Surface-Selective Atomic Layer Deposition Using Hydrosilylation Passivation
KR100777321B1 (ko) 동일 챔버에서의 산화물층 및 실리콘층의 성장
KR20090026354A (ko) 에피택시 챔버에서의 기판의 선-세정 방법
CN113243039B (zh) 生长掺杂iv族材料的方法
KR101225477B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US7244667B2 (en) Method and device for the production of thin epitaxial semiconductor layers

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant