CN111261503A - 气体供给喷嘴、衬底处理装置及半导体器件的制造方法 - Google Patents

气体供给喷嘴、衬底处理装置及半导体器件的制造方法 Download PDF

Info

Publication number
CN111261503A
CN111261503A CN202010072894.0A CN202010072894A CN111261503A CN 111261503 A CN111261503 A CN 111261503A CN 202010072894 A CN202010072894 A CN 202010072894A CN 111261503 A CN111261503 A CN 111261503A
Authority
CN
China
Prior art keywords
gas
gas supply
nozzle
downstream
upstream
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010072894.0A
Other languages
English (en)
Other versions
CN111261503B (zh
Inventor
高木康祐
笹岛亮太
小仓慎太郎
赤江尚德
山腰莉早
藤野敏树
寺崎昌人
南政克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to CN202010072894.0A priority Critical patent/CN111261503B/zh
Priority claimed from CN201610526212.2A external-priority patent/CN106356289B/zh
Publication of CN111261503A publication Critical patent/CN111261503A/zh
Application granted granted Critical
Publication of CN111261503B publication Critical patent/CN111261503B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

本发明涉及气体供给喷嘴、衬底处理装置及半导体器件的制造方法。本发明提供能够提高衬底间的膜厚均匀性的技术。本发明提供一种技术,其具有:喷嘴基端部,其以沿铅垂方向延伸的方式设置于对衬底进行处理的处理室内,并供对所述衬底进行处理的处理气体导入;喷嘴前端部,其呈U字状构成,并在靠所述衬底侧的侧面设置有将所述处理气体供给至所述处理室内的气体供给孔;和气体滞留抑制孔,其设置于所述喷嘴前端部的下游端,并具有比所述气体供给孔大的直径。

Description

气体供给喷嘴、衬底处理装置及半导体器件的制造方法
本申请是申请日为2016年7月5日、发明名称为“气体供给喷嘴、衬底处理装置及半导体器件的制造方法”的中国发明专利申请No.201610526212.2的分案申请。
技术领域
本发明涉及气体供给喷嘴、衬底处理装置及半导体器件的制造方法。
背景技术
作为半导体器件(装置)的制造工序的一个工序,有时进行下述处理:通过对处理室内的呈多层配置的衬底非同时地供给原料气体和反应气体,从而在衬底上形成膜。
特开2009-295729号公报
发明内容
然而,近年来,这样的半导体器件有高集成化的趋势,图案尺寸显著微细化,因此,变得难以在衬底上均匀地形成膜。本发明的目的在于提供能够提高衬底间的膜厚均匀性的技术。
通过本发明的一方案,提供一种技术,其具有:
喷嘴基端部,其以沿铅垂方向延伸的方式设置于对衬底进行处理的处理室内,并供对所述衬底进行处理的处理气体导入;
喷嘴前端部,其呈U字状构成,并在靠所述衬底侧的侧面设置有将所述处理气体供给至所述处理室内的气体供给孔;和
气体滞留抑制孔,其设置于所述喷嘴前端部的下游端,并具有比所述气体供给孔大的直径。
本申请涉及下述项:
项1、一种气体供给喷嘴,其具有:
喷嘴基端部,其以沿铅垂方向延伸的方式设置于对衬底进行处理的处理室内,并供对所述衬底进行处理的处理气体导入;
喷嘴前端部,其呈U字状构成,并在靠所述衬底侧的侧面设置有将所述处理气体供给至所述处理室内的气体供给孔;和
气体滞留抑制孔,其设置于所述喷嘴前端部的下游端,并具有比所述气体供给孔大的直径。
项2、如项1所述的气体供给喷嘴,其中,所述气体滞留抑制孔设置于比配置有所述衬底的位置靠下方的位置。
项3、如项1所述的气体供给喷嘴,其中,所述气体滞留抑制孔的孔径以成为所述气体供给孔的孔径的1.1~25倍的方式形成。
项4、如项1所述的气体供给喷嘴,其中,所述喷嘴前端部具有:
连接于所述喷嘴基端部的上游侧管线;
改变流经所述上游侧管线的气流的方向的折返部;和
连接于所述折返部并向铅垂方向下方延伸的下游侧管线,
所述气体滞留抑制孔的孔径以使所述上游侧管线的上游端与所述下游侧管线的下游端中的气体的流速相等的方式构成。
项5、如项4所述的气体供给喷嘴,其中,所述气体供给孔仅设置于所述下游侧管线上。
项6、如项4所述的气体供给喷嘴,其中,所述气体供给孔仅设置于所述上游侧管线上。
项7、如项4所述的气体供给喷嘴,其中,所述气体供给孔以在所述上游侧管线和所述下游侧管线上位于不同高度的方式进行设置。
项8、一种衬底处理装置,其具有:
对衬底进行处理的处理室;和
经由第一气体供给喷嘴供给处理气体的气体供给部,
所述第一气体供给喷嘴包括:
喷嘴基端部,其以沿铅垂方向延伸的方式设置于所述处理室内,并供对所述衬底进行处理的所述处理气体导入;
喷嘴前端部,其呈U字状构成,并在靠所述衬底侧的侧面设置有将所述处理气体供给至所述处理室内的气体供给孔;和
气体滞留抑制孔,其设置于所述喷嘴前端部的下游端,并具有比所述气体供给孔大的直径。
项9、如项8所述的衬底处理装置,其中,所述气体滞留抑制孔设置于比配置有所述衬底的位置靠下方的位置。
项10、如项8所述的衬底处理装置,其中,所述气体滞留抑制孔的孔径以成为所述气体供给孔的孔径的1.1~25倍的方式形成。
项11、如项8所述的衬底处理装置,其中,所述喷嘴前端部具有:
连接于所述喷嘴基端部的上游侧管线;
改变流经所述上游侧管线的气流的方向的折返部;和
连接于所述折返部并向铅垂方向下方延伸的下游侧管线,
所述气体滞留抑制孔的孔径以使所述上游侧管线的上游端与所述下游侧管线的下游端中的气体的流速相等的方式构成。
项12、如项8所述的衬底处理装置,其中,从所述气体供给部供给的处理气体至少为原料气体和反应气体及非活性气体。
项13、如项11所述的衬底处理装置,其中,从所述气体供给部供给的所述原料气体至少经由所述第一气体供给喷嘴而被供给至所述处理室内。
项14、一种半导体器件的制造方法,包括下述工序:
准备衬底处理装置的工序;和
从气体供给部供给处理气体而对所述衬底进行处理的工序,
所述衬底处理装置具有:
对衬底进行处理的处理室;和
经由第一气体供给喷嘴供给所述处理气体的所述气体供给部,
所述第一气体供给喷嘴包括:
喷嘴基端部,其以沿铅垂方向延伸的方式设置于所述处理室内,并供对所述衬底进行处理的所述处理气体导入;
喷嘴前端部,其呈U字状构成,并在靠所述衬底侧的侧面设置有将所述处理气体供给至所述处理室内的气体供给孔;和
气体滞留抑制孔,其设置于所述喷嘴前端部的下游端,并具有比所述气体供给孔大的直径。
通过本发明,能够提高衬底间的膜厚均匀性。
附图说明
[图1]本发明的一实施方式中所适用的衬底处理装置的纵型处理炉的结构简图,是用纵截面图来表示处理炉部分的图。
[图2]本发明的一实施方式中所适用的衬底处理装置的纵型处理炉的结构简图,是用图1的A-A线截面图来表示处理炉部分的图。
[图3]本发明的一实施方式中所适用的衬底处理装置的控制器的结构简图,是用框图来表示控制器的控制系统的图。
[图4]表示本发明的一实施方式中所适用的衬底处理装置的处理容器和喷嘴的设置例的图。
[图5]表示本发明的一实施方式中所适用的衬底处理装置的喷嘴的立体图的图。
[图6](a)是将图5的喷嘴中的虚线区域A放大而得到的图,(b)是将图5的喷嘴中的虚线区域B放大而得到的图。
[图7A](a)是表示直管型的喷嘴形状的喷嘴的高度方向与气体的反应比率的关系的图,(b)是表示在为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ1.1时的、喷嘴的高度方向与气体的反应比率的关系的图。
[图7B](c)是表示在为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4时的、喷嘴的高度方向与气体的反应比率的关系的图,(d)是表示在为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ8时的、喷嘴的高度方向与气体的反应比率的关系的图。
[图8](a)是表示直管型的喷嘴形状的气体反应比率分布的图像的图,(b)是表示在为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ1.1时的气体反应比率分布的图像的图,(c)是表示在为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ8时的气体反应比率分布的图像的图。
[图9]表示本发明的一实施方式中所适用的成膜时序的图。
[图10A](a)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4的情形的图,(b)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ1.1的情形的图,(c)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ8的情形的图。
[图10B](d)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4、在比喷嘴的折返部分靠下游侧的喷嘴部分上设置气体供给孔的情形的图,(e)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4、在比喷嘴的折返部分靠上游侧的喷嘴部分设置气体供给孔的情形的图,(f)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4、在比喷嘴的折返部分靠上游侧的喷嘴部分上的喷嘴中心与喷嘴基端部之间设置气体供给孔、并且在比喷嘴的折返部分靠下游侧的喷嘴部分上的喷嘴中心与喷嘴的折返部分之间设置气体供给孔的情形的图,(g)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4、在比折返部分靠上游侧的喷嘴部分上的喷嘴中心与喷嘴的折返部分之间设置气体供给孔、并且在比喷嘴的折返部分靠下游侧的喷嘴部分上的喷嘴中心与喷嘴前端部之间设置气体供给孔的情形的图。
[图10C](h)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4、以将比喷嘴的折返部分靠下游侧的喷嘴部分的长度延长并且使比喷嘴的折返部分靠下游侧的气体供给孔的设置位置位于反应管下部的方式进行设置的情形的图,(i)是表示为图5的喷嘴形状且喷嘴前端的气体滞留抑制孔的孔径为φ4、将比喷嘴的折返部分靠下游侧的喷嘴部分的长度延长、并且使气体供给孔的设置位置在喷嘴的折返部分的上游和下游处为相同高度的情形的图,(j)是表示为图5的喷嘴形状且喷嘴的前端的气体滞留抑制孔的孔径为φ4、将比喷嘴的折返部分靠下游侧的喷嘴部分的长度延长、并且仅在比喷嘴的折返部分靠上游侧的位置设置气体供给孔的情形的图,(k)是表示为图5的喷嘴形状且喷嘴的前端的气体滞留抑制孔的孔径为φ4、将比喷嘴的折返部分靠下游侧的喷嘴部分的长度延长、并且仅在比喷嘴的折返部分靠下游侧的位置设置气体供给孔的情形的图。
[图11]表示本发明的另一实施方式中所适用的衬底处理装置的处理容器和喷嘴的设置例的图。
[图12]本发明的另一实施方式中所适用的衬底处理装置的纵型处理炉的结构简图,是用图1的A-A线截面图来表示处理炉部分的图。
附图标记说明
121 控制器(控制部)
200 晶片(衬底)
201 处理室
202 处理炉
203 反应管
249a、249b 喷嘴(第一喷嘴、第二喷嘴)
具体实施方式
<第一实施方式>
以下,使用图1至图3来说明本发明的一实施方式。
(1)衬底处理装置的构成(加热装置)
如图1所示,处理炉202具有作为加热手段(加热机构)的加热器207。加热器207为圆筒形状,通过支承于作为保持板的加热器基座(未图示)而被铅垂地安装。如后文所述,加热器207也作为用热使气体活化(激发)的活化机构(激发部)而发挥作用。
在加热器207的内侧,以与加热器207呈同心圆状的方式设置有构成反应容器(处理容器)的反应管203。反应管203由例如石英(SiO2)或碳化硅(SiC)等耐热性材料构成,并形成为上端闭塞、下端开口的圆筒形状。在反应管203的筒中空部形成有处理室201。处理室201被构成为能够利用后述的晶舟217将作为衬底的晶片200以水平姿势在铅垂方向上呈多层整列的状态进行收纳。
(气体供给部)
在处理室201内,以贯穿反应管203的下部侧壁的方式分别组装有后述的作为第一气体供给喷嘴的喷嘴249a、作为第二气体供给喷嘴的喷嘴249b。喷嘴249a、249b分别由石英构成。气体供给管232a、232b分别与喷嘴249a、249b连接。如上所述,在反应管203处设置有2个喷嘴249a、249b和2个气体供给管232a、232b,能够向处理室201内供给数种气体。
但是,本实施方式的处理炉202并不限定于上述形态。例如,可以在反应管203的下方设置对反应管203进行支承的金属制集流管,并以贯穿集流管的侧壁的方式设置各喷嘴。这种情况下,还可以在集流管处进一步设置后述的排气管231。这种情况下,也可以不将排气管231设置于集流管处,而是将其设置在反应管203的下部。如上所述,还可以使处理炉202的炉口部为金属制,并在该金属制的炉口部安装喷嘴等。
在气体供给管232a、232b上,从上游方向起依序分别设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a、241b及作为开闭阀的阀243a、243b。在气体供给管232a、232b的比阀243a、243b靠近下游侧的位置,分别连接有供给非活性气体的气体供给管232c、232d。在气体供给管232c,232d上,从上游方向起依序分别设置有作为流量控制器(流量控制部)的MFC241c、41d及作为开闭阀的阀243c、243d。
在气体供给管232a、232b的前端部分别连接有在后文中进行详述的喷嘴249a、249b。需要说明是,也将喷嘴249a、249b统一简称为喷嘴249。如图2所示,喷嘴249a、249b分别以沿着反应管203的内壁从下部至上部、朝向晶片200的排列方向上方竖立的方式,设置于反应管203的内壁与晶片200之间的圆环状空间。即,喷嘴249a、249b以沿着排列晶片200的晶片排列区域的方式分别设置于晶片排列区域的侧方的、水平包围晶片排列区域的区域。即,喷嘴249a、249b以与被搬入至处理室201内的晶片200的表面(平坦面)垂直的方式分别设置于各晶片200的端部(周缘部)的侧方。喷嘴249a、249b分别构成为L字型的长径喷嘴,它们的各水平部以贯穿反应管203的下部侧壁的方式进行设置,它们的各铅垂部以至少从晶片排列区域的一端侧朝向另一端侧竖立的方式进行设置。在喷嘴249a、249b的侧面,分别设置有供给气体的气体供给孔250a和250c、250b和250d。需要说明是,也将设置于喷嘴249a处的气体供给孔250a和250c简称为气体供给孔250a(250c),或者将设置于喷嘴249a处的气体供给孔250b和250d简称为气体供给孔250b(250d)。进而,也将气体供给孔250a、250b、250c、250d统一简称为气体供给孔250。气体供给孔250a(250c)和气体供给孔250b(250d)以朝向反应管203的中心的方式分别开口,能够朝向晶片200供给气体。在从反应管203的下部到上部的范围内分别设置有数个气体供给孔250a(250c)、气体供给孔250b(250d),它们分别具有相同的开口面积,而且以相同的开口间距进行设置。
如上所述,在本实施方式中,经由喷嘴249a、249b来输送气体,所述喷嘴249a、249b配置在由反应管203的侧壁的内壁与堆叠的数片的晶片200的端部(周缘部)定义出来的圆环状的纵长空间内、即圆筒状的空间内。并且,在晶片200的附近才从分别开口于喷嘴249a、249b的气体供给孔250a(250c)、250b(250d)向反应管203内喷出气体。并且,反应管203内的气体的主要流向为与晶片200的表面平行的方向、即水平方向。通过形成这样的构成,能够向各晶片200均匀地供给气体,能够提高形成于各晶片200上的薄膜的膜厚均匀性。在晶片200的表面上流过的气体、即反应后的残留气体朝向排气口、即后述的排气管231的方向流动。但是,该残留气体的流动方向可根据排气口的位置适当确定,并不限于铅垂方向。
作为原料气体,例如,包含作为规定元素的Si及卤元素的卤硅烷原料气体,从气体供给管232a,经由MFC241a、阀243a、喷嘴249a而被供给至处理室201内。
所谓卤硅烷原料气体,是指气态的卤硅烷原料,例如,通过将常温常压下为液态的卤硅烷原料气化而得到的气体、常温常压下为气态的卤硅烷原料等。所谓卤硅烷原料,是指具有卤基的硅烷原料。卤基包括氯基、氟基、溴基、碘基等。即,卤基包括氯(Cl)、氟(F)、溴(Br)、碘(I)等卤素。也可认为卤硅烷原料是卤化物的一种。在本说明书中使用术语“原料”时,有时指“液态的液体原料”,有时指“气态的原料气体”,或有时指上述两者。
作为卤硅烷原料气体,可使用例如包含Si及Cl且不含C的原料气体、即无机类的氯硅烷原料气体。作为无机类的氯硅烷原料气体,可使用例如六氯乙硅烷(Si2Cl6,简称:HCDS)气体、八氯丙硅烷(Si3Cl8,简称:OCTS)气体等。也可认为这些气体是在1分子中包含至少2个Si、而且包含Cl、并具有Si-Si键的原料气体。这些气体在后述的成膜处理中作为Si源发挥作用。
另外,作为卤硅烷原料气体,也可使用例如包含Si、Cl及亚烷基且具有Si-C键的原料气体、即作为有机类的氯硅烷原料气体的亚烷基氯硅烷原料气体。亚烷基包括亚甲基、亚乙基,亚丙基、亚丁基等。也可将亚烷基氯硅烷原料气体称为亚烷基卤硅烷原料气体。作为亚烷基氯硅烷原料气体,可使用例如双(三氯甲硅烷基)甲烷((SiCl3)2CH2,简称:BTCSM)气体、亚乙基双(三氯硅烷)气体、即1,2-双(三氯甲硅烷基)乙烷((SiCl3)2C2H4,简称:BTCSE)气体等。也可认为这些气体是在1分子中包含至少2个Si、而且包含C及Cl、并具有Si-C键的原料气体。这些气体在后述的成膜处理中既作为Si源发挥作用,又作为C源发挥作用。
另外,作为卤硅烷原料气体,也可使用例如包含Si、Cl及烷基且具有Si-C键的原料气体、即作为有机类的氯硅烷原料气体的烷基氯硅烷原料气体。烷基包括甲基、乙基,丙基、丁基等。也可将烷基氯硅烷原料气体称为烷基卤硅烷原料气体。作为烷基氯硅烷原料气体,可使用例如1,1,2,2-四氯-1,2-二甲基乙硅烷((CH3)2Si2Cl4,简称:TCDMDS)气体、1,2-二氯-1,1,2,2-四甲基乙硅烷((CH3)4Si2Cl2,简称:DCTMDS)气体、1-单氯-1,1,2,2,2-五甲基乙硅烷((CH3)5Si2Cl,简称:MCPMDS)气体等。也可认为这些气体是在1分子中包含至少2个Si、而且包含C及Cl、并具有Si-C键的原料气体。需要说明是,这些气体进一步也具有Si-Si键。这些气体在后述的成膜处理中既作为Si源发挥作用,又作为C源发挥作用。
在使用如HCDS、BTCSM、TCDMDS等那样的常温常压下为液态的液体原料的情况下,利用气化器、鼓泡器等气化系统将液态原料气化,以原料气体(HCDS气体、BTCSM气体、TCDMDS气体等)的形式进行供给。
另外,作为化学结构(分子结构)与原料气体不同的反应气体,例如,含碳(C)气体,从气体供给管232a,经由MFC241a、阀243a、喷嘴249a而被供给至处理室201内。作为含C气体,可使用例如碳化氢类气体。也可认为碳化氢类气体是仅由C及H这两种元素构成的物质,其在后述的成膜处理中作为C源发挥作用。作为碳化氢类气体,可使用例如丙烯(C3H6)气体。
另外,作为化学结构与原料气体不同的反应气体,例如,含氧(O)气体,从气体供给管232b,经由MFC241b、阀243b、喷嘴249b而被供给至处理室201内。含O气体在后述的成膜处理中作为氧化气体、即O源发挥作用。作为含O气体,可使用例如氧气(O2)。
另外,作为化学结构与原料气体不同的反应气体,例如,含氢(H)气体,从气体供给管232b,MFC241b,经由阀243b、喷嘴249b而被供给至处理室201内。
作为含H气体,可使用例如包含氮(N)及氢(H)的气体即氮化氢类气体。也可认为氮化氢类气体是仅由N及H这两种元素构成的物质,也可将其称为含氮(N)气体。含N气体在后述的成膜处理中作为氮化气体、即N源发挥作用。作为氮化氢类气体,可使用例如氨气(NH3)。
另外,作为含H气体,也可使用例如包含N、C及H的气体即胺类气体。也可认为胺类气体是仅由C、N及H这三种元素构成的物质,也可将其称为包含N及C的气体。胺类气体在后述的成膜处理中既作为N源发挥作用,又作为C源发挥作用。作为胺类气体,可使用例如三乙胺((C2H5)3N,简称:TEA)气体。在使用如TEA那样的常温常压下为液态的胺的情况下,利用气化器、鼓泡器等气化系统将液态的胺气化,以胺类气体(TEA气体)的形式进行供给。
另外,作为含H气体,也可使用例如包含N、C及H的气体即有机肼类气体。也可认为有机肼类气体是仅由N、C及H这三种元素构成的物质,也可将其称为包含N及C的气体。有机肼类气体在后述的成膜处理中既作为N源发挥作用,又作为C源发挥作用。作为有机肼类气体,可使用例如三甲基肼((CH3)2N2(CH3)H,简称:TMH)气体。在使用如TMH那样的常温常压下为液态的肼的情况下,利用气化器、鼓泡器等气化系统将液态的肼气化,以有机肼类气体(TMH气体)的形式进行供给。
另外,作为含H气体,也可使用例如氢气(H2)、氘气(D2)等不含N、C的气体。
作为非活性气体,例如,氮气(N2),从气体供给管232c、232d,分别经由MFC241c、241d、阀243c、243d、气体供给管232a、232b、喷嘴249a、249b而被供给至处理室201内。
在从气体供给管232a供给原料气体时,原料气体供给系统主要由气体供给管232a、MFC241a、阀243a构成。在原料气体供给系统中也可包括喷嘴249a。也可将原料气体供给系统称为原料供给系统。在从气体供给管232a供给卤硅烷原料气体时,也可将原料气体供给系统称为卤硅烷原料气体供给系统或者卤硅烷原料供给系统。
在从气体供给系统232a供给含C气体时,含C气体供给系统主要由气体供给管232a、MFC241a、阀243a构成。在含C气体供给系统中也可包括喷嘴249a。在从气体供给管232a供给碳化氢类气体时,也可将含C气体供给系统称为碳化氢类气体供给系统或者碳化氢供给系统。
在从气体供给系统232b供给含O气体时,含O气体供给系统主要由气体供给管232b、MFC241b、阀243b构成。在含O气体供给系统中也可包括喷嘴249b。也可将含O气体供给系统称为氧化气体供给系统或者氧化剂供给系统。
在从气体供给管232b供给含H气体时,含H气体供给系统主要由气体供给管232b、MFC241b、阀243b构成。在含H气体供给系统中也可包括喷嘴249b。在从气体供给管232b供给包含N及H的气体时,也可将含H气体供给系统称为含N气体供给系统、包含N及H的气体供给系统等。另外,在从气体供给管232b供给包含N、C及H的气体时,也可将含H气体供给系统称为含N气体供给系统、含C气体供给系统、包含N及C的气体供给系统等。也可将含N气体供给系统称为氮化气体供给系统或者氮化剂供给系统。在供给氮化氢类气体、胺类气体、有机肼类气体作为含H气体时,也可将含H气体供给系统称为氮化氢类气体供给系统、胺类气体供给系统、有机肼类气体供给系统等。
也可将上述含C气体供给系统、含O气体供给系统、含H气体供给系统中的任一者或全部的气体供给系统称为反应气体供给系统或者反应物供给系统。
另外,非活性气体供给系统主要由气体供给管232c、232d、MFC241c、241d、阀243c、243d构成。也可将非活性气体供给系统称为吹扫气体供给系统、稀释气体供给系统、或者载气供给系统。
需要说明是,将经由喷嘴249a、249b而被供给至处理室201内的原料气体、反应气体、非活性气体等全部气体统称为处理气体。
(排气部)
在反应管203上设置有将处理室201内的气氛排出的排气管231。在排气管231上,经由作为对处理室201内的压力进行检测的压力检测器(压力检测部)的压力传感器245及作为压力调节器(压力调节部)的APC(Auto Pressure Controller)阀244而连接有作为真空排气装置的真空泵246。APC阀244为以下述方式构成的阀:通过在使真空泵246工作的状态下将阀开闭,能够对处理室201内进行真空排气及停止真空排气,进而,通过在使真空泵246工作的状态下基于由压力传感器245检测到的压力信息来调节阀开度,能够调节处理室201内的压力。排气系统主要由排气管231、APC阀244、压力传感器245构成。在排气系统中也可包括真空泵246。
(周边机构)
在反应管203的下方设置有能够将反应管203的下端开口气密地封闭的、作为炉口盖体的密封盖219。密封盖219以从铅垂方向下侧抵接于反应管203的下端的方式构成。密封盖219例如由SUS等金属构成,形成为圆盘状。在密封盖219的上表面设置有与反应管203的下端抵接的、作为密封部件的O型环220。在密封盖219的与处理室201相反的一侧设置有使后述的晶舟217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封盖219而与晶舟217连接。旋转机构267以通过使晶舟217旋转而使晶片200旋转的方式构成。密封盖219以下述方式构成:通过铅垂设置在反应管203的外部的作为升降机构的晶舟升降机115而在铅垂方向上进行升降。晶舟升降机115以下述方式构成:通过使密封盖219升降,从而能够将晶舟217搬入处理室201内及搬出处理室201外。即,晶舟升降机115构成为将晶舟217(即晶片200)输送于处理室201内外的输送装置(输送机构)。
(衬底支承具)
作为衬底支承具的晶舟217以下述方式构成:使数片、例如25~200片的晶片200以水平姿势且在彼此中心对齐的状态下沿铅垂方向排列,并呈多层地进行支承,即,使所述晶片200隔开间隔地排列。晶舟217例如由石英、SiC等耐热性材料构成。在晶舟217的下部,以水平姿势且呈多层地支承有例如由石英、SiC等耐热性材料构成的隔热板218。通过这样的构成,来自加热器207的热不易传递至密封盖219侧。但是,本实施方式并不限于上述方式。例如,可以在晶舟217的下部不设置隔热板218,而是设置由石英、SiC等耐热性材料构成的、构成为筒状部件的隔热筒。
(温度传感器)
在反应管203内设置有作为温度检测器的温度传感器263。通过基于由温度传感器263检测到的温度信息来调节对加热器207的通电情况,由此能够使处理室201内的温度成为所希望的温度分布。温度传感器263与喷嘴249a、249b同样地构成为L字型,沿着反应管203的内壁进行设置。
(控制部)
如图3所示,作为控制部(控制手段)的控制器121以包括CPU(Central ProcessingUnit)121a、RAM(Random Access Memory)121b、存储装置121c、I/O端口121d的计算机的形式构成。RAM121b、存储装置121c、I/O端口121d以能够经由内部总线121e而与CPU121a进行数据交换的方式构成。控制器121连接有例如构成为触摸面板等的输入输出装置122。
存储装置121c例如由闪存、HDD(Hard Disk Drive)等构成。在存储装置121c内,以可读取的方式存储有:对衬底处理装置的动作进行控制的控制程序;记载有后述的喷嘴的蚀刻处理、成膜处理的步骤、条件等的蚀刻制程、工艺制程等。蚀刻制程、工艺制程是以使控制器121执行后述的衬底处理工序中的各步骤、并能获得规定结果的方式组合而成的,其作为程序发挥功能。以下,以下,也将该工艺制程、控制程序等统一简称为程序。另外,也将蚀刻制程、工艺制程简称为制程。在本说明书中,在使用术语“程序”时,有时仅单独包含制程,有时仅单独包含控制程序,或者有时包含上述两者。RAM121b以存储区域(工作区)的形式构成,该存储区域暂时保持通过CPU121a读取的程序、数据等。
I/O端口121d与上述MFC241a~241d、阀243a~243d、压力传感器245、APC阀244、真空泵246、加热器207、温度传感器263、旋转机构267、晶舟升降机115等连接。
CPU121a以下述方式构成:从存储装置121c读取并执行控制程序,并且根据来自输入输出装置122的操作命令的输入等,从存储装置121c读取工艺制程。CPU121a以下述方式构成:按照所读取的制程的内容,对利用MFC241a~241d进行的各种气体的流量调节动作、阀243a~243d的开闭动作、基于APC阀244的开闭动作及压力传感器245并利用APC阀244进行的压力调节动作、真空泵246的起动及停止、基于温度传感器263的加热器207的温度调节动作、利用旋转机构267进行的晶舟217的旋转及旋转速度调节动作、利用晶舟升降机115进行的晶舟217的升降动作等进行控制。
控制器121可通过将存储于外部存储装置(例如磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器、存储卡等半导体存储器)123的上述程序安装于计算机的方式构成。存储装置121c、外部存储装置123以计算机可读取的记录介质的形式构成。以下,也将它们统一简称为记录介质。在本说明书中使用术语“记录介质”时,有时仅单独包含存储装置121c、有时仅单独包含外部存储装置123、或有时包含上述两者。需要说明是,对于向计算机提供程序而言,可以使用互联网、专用线路等通信手段,而不使用外部存储装置123。
(气体供给喷嘴)
接下来,使用图4至图8,对用于供给处理气体的喷嘴249进行说明。如图4所示,在反应管203内,供给气体的喷嘴249a和249b沿铅垂方向延伸。如图5所示,喷嘴249由L字形状的喷嘴基端部270和U字形状的喷嘴前端部271构成。另外,如图5及图6(a)、图6(b)所示,喷嘴前端部271由折返部273、将喷嘴基端部270与折返部273连接的上游侧管线271-1、和连接于折返部273的下游端的下游侧管线271-2构成。向处理室201内供给处理气体的气体供给口250分别设置于上游侧管线271-1、下游侧管线271-2的与折返部273的折返方向不同的方向的侧面。在下游侧管线271-2的下游端,设置有用于抑制喷嘴内的处理气体滞留的气体滞留抑制孔280。
此处,所谓折返部273,是指气体供给喷嘴的比位于最上方的气体供给孔250靠铅垂方向上侧的气体供给喷嘴249,是指改变气体流动方向的部位。需要说明是,在本说明书中使用术语“折返部273”时,有时指“存在于比位于最上方的气体供给孔250靠铅垂方向上侧的喷嘴249”和“改变喷嘴前端部271中的气体流动方向的部位”中的任意一方,或者有时指上述两方。
如图6(b)所示,为了抑制供给至喷嘴249内的处理气体在喷嘴内部发生滞留,气体滞留抑制孔280以成为比气体供给孔250大的孔径的方式形成,并且,以成为比下游侧管线271-2的管线直径小的孔径的形式形成。通过如上述那样进行构成,能够抑制所供给的处理气体滞留在下游侧管线271-2的下游端。另外,能够抑制成为由气体滞留抑制孔280形成的排气瓶颈(bottle neck),能够均匀地将已活化的处理气体从气体供给孔250高效地供给至晶片200上。
假如在使气体滞留抑制孔280的孔径小于气体供给孔250的情况下,则已供给至喷嘴249内的气体不易通过,气体会滞留在下游侧管线271-2的下游端。因此,通过加热装置加热的已活化的处理气体容易主要从下游侧管线271-2的下游端附近被大量地供给,无法获得呈水平多层地排列的晶片200的面间的膜厚均匀性。另外,已活化的处理气体会导致在下游侧管线271-2的下游端附近的内壁形成堆积膜,根据情况气体供给孔250也可能发生堵塞。反之,如果使气体滞留抑制孔280的孔径以成为一定的直径、例如成为与下游侧管线271-2的管线直径相同的孔径的方式形成,则所供给的处理气体成为从气体滞留抑制孔280排气的排气瓶颈,难以进行来自气体供给孔250的气体供给。
因此,气体滞留抑制孔280必须以大于气体供给孔250的孔径的方式构成。合适的是,气体滞留抑制孔280以成为气体供给孔250的孔径的1.1倍~25倍的范围的方式构成是理想的,更合适的是,气体滞留抑制孔280以成为气体供给孔250的孔径的5倍~15倍的范围的方式构成是理想的。另外,更合适的是,气体滞留抑制孔280的孔径以下述方式构成是理想的,所述方式为:流过上游侧管线271-1的水平截面S1(上游侧管线271-1的比位于最下方的气体供给孔250a(250b)靠下方的位置)的气体的流速与流过下游侧管线271-2的水平截面S2(下游侧管线271-2的比位于最下方的气体供给孔250c(250d)靠下方的位置)的气体的流速为相同等级。
另外,对于气体滞留抑制孔280而言,通过朝向下方地设置于喷嘴249的前端,从而例如如图1、图4所示那样使气体滞留抑制孔280位于排气口附近。通过如上述那样进行构成,能够抑制对晶片200供给过量的气体,不仅如此,还可使在喷嘴249内产生的颗粒等副产物不附着在晶片200上,而容易地排出。
接下来,使用图7A所示的(a)对使用直管型的喷嘴(例如后述的图11的喷嘴251)供给气体时的喷嘴内的气体反应比率(分解比率)进行说明,使用图7A的(b)、图7B的(c)~(d)和图8对使用图5所示的喷嘴249供给气体的喷嘴249内的气体反应比率(分解比率)进行说明。对于图7A及图7B中的评价条件而言,将衬底处理温度加热至600℃,供给0.3slm的作为处理气体的HCDS气体,供给0.5slm的N2气,使衬底处理压力为50Pa,使气体供给孔的孔径和数量为φ1mm×143个,使气体供给孔管的间隔(间距)为约8mm。另外,对于图7A及图7B所示的(a)~(d)而言,从喷嘴249的铅垂方向的下方朝向上方对气体供给孔附上序号,使表示与气体供给孔的序号对应的高度的数值为纵轴,使用喷嘴内的压力、温度、密度、气体流速进行运算,将求得的喷嘴249内反应比率(PTρ/Va)示于横轴。即,纵轴表示喷嘴249的铅垂方向的高度,横轴表示喷嘴249内进行反应(分解)的气体的量,图形接近于直线时,可判断为晶片200间的面间均匀性良好。
在图7A所示的(a)中,获知:随着靠近图形上方,在喷嘴内处理气体进行反应(分解)。即,在距离导入有处理气体的喷嘴上游部最远的部位,处理气体进行反应,如果将其与形成于晶片200上的规定的膜进行对照,则可判断出晶片200越靠近铅垂方向上方则膜厚变得越大,无法获得面间的均匀性。对于该情况,如果使用喷嘴形状的简图并以颜色的浓淡来表示所分解的量的多少,则如图8所示的(a)那样,随着朝向喷嘴上方,显示为颜色变浓。认为其原因在于,与喷嘴下方的处理气体相比,喷嘴上方的处理气体的被加热的时间、距离变长。换言之,认为这是因为,所供给的处理气体随着朝向喷嘴上方的前端部,流速以接近于0m/s的方式变慢,流速越慢,则处理气体越容易被加热,越容易进行反应。
与其相对,在图7A所示的(b)中,获知:与图7A所示的(a)相反,随着靠近图形下方,在喷嘴249内处理气体进行反应。其原因在于,在距离导入有处理气体的喷嘴基端部270最远的部位,处理气体进行反应方面与图7A所示的(a)相同,但喷嘴249的气体前端部271具有U字形状,距离导入有处理气体的喷嘴上游部最远的部位即喷嘴249的前端位于铅垂方向下方。因此,形成于晶片200上的规定的膜随着朝向铅垂方向下方而膜厚变大,难以得到晶片200的面间均匀性。
但是,在图7A所示的(b)中,将孔径为φ1.1mm的气体滞留抑制孔280设置在喷嘴249的前端,并从气体滞留抑制孔280将处理气体排出,因此,可判断出与图7A所示的(a)相比,喷嘴前端部的处理气体的流速越快,则相应地晶片200中的膜厚的面间均匀性被改善。
进而,在图7B所示的(c)中,也获知:与图7A所示的(b)相同,随着靠近图形下方,在喷嘴249内气体进行反应。其原因在于,与图7A所示的(b)相同,喷嘴249的气体前端部271具有U字形状,距离导入有处理气体的喷嘴上游部最远的部位即喷嘴249的前端位于铅垂方向下方,因此,已活化的气体容易被供给至下方。对于该情况,如果使用喷嘴形状的简图并以颜色的浓淡来表示所分解的量的多少,则如图8所示的(b)那样,在上游侧管线271-1处,为大致均匀的颜色的浓度,但在下游侧管线271-2处,随着朝向喷嘴下方,显示为颜色变浓。
此处,在图7B所示的(c)中,获知:与图7A所示的(b)相比,喷嘴下方的反应比率与喷嘴上方的反应比率之间的乖离得到抑制。这是由于气体滞留抑制孔280的孔径为φ4mm,大于图7A所示的(b),所以喷嘴249的前端部中的处理气体的流速进一步变快,喷嘴249的前端部中处理气体的滞留被抑制,因此,可判断出晶片200中的膜厚的面间均匀性被显著改善。
在图7B所示的(d)中,获知:几乎没有铅垂方向上的图形倾斜,喷嘴内的反应比率在上下范围内大致均匀。即,获知可得到晶片200的面间均匀性。认为其原因在于,如图8所示的(c)所示那样,由于气体滞留抑制孔280的孔径以φ8mm的形式形成,所以喷嘴249的前端部处的处理气体的流速快于图7B所示的(c)的情形,并接近导入至喷嘴前端部271的处理气体的流速。可判断出:通过如上述那样构成气体滞留抑制孔280,从而供给至气体供给喷嘴249的处理气体不会成为从气体滞留抑制孔280排气的排气瓶颈,可抑制喷嘴249的前端部处的处理气体的滞留,并且,均匀地将已加热的处理气体从气体供给孔供给至处理室201内。
由此可见,气体滞留抑制孔280的孔径以相对于喷嘴249的喷嘴直径成为1/90倍以上、小于1倍的范围的方式构成是理想的。合适的是,气体滞留抑制孔280的孔径以相对于喷嘴249的喷嘴直径成为0.3倍以上、0.7倍以下的方式构成是理想的。
另外,气体滞留抑制孔280的孔径以相对于喷嘴249的前端面积成为0.05倍以上、小于1倍的范围的方式构成是理想的。合适的是,气体滞留抑制孔280的孔径以相对于喷嘴249的前端面积成为0.1倍以上、0.5以下的方式构成是理想的。
另外,气体滞留抑制孔280不仅可设置在喷嘴249的前端部的中央,只要是设置在喷嘴249的前端面即可,可以是任意部位,还可设置数个。通过如上述那样进行构成,能够更有效地抑制喷嘴249的前端的气体的滞留。
(2)成膜处理
作为半导体器件(装置)的制造工序的一个工序,对使用上述衬底处理装置在衬底上形成膜的处理(以下也称为成膜处理)的时序例,使用图9进行说明。在以下说明中,利用控制器121来控制构成衬底处理装置的各部分的动作。
在本实施方式的成膜处理中,通过将非同时地进行下述步骤的循环实施规定次数(1次以上),从而在晶片200上形成膜,所述步骤为:经由作为第一喷嘴的喷嘴249a,对处理室201内的作为衬底的晶片200供给原料气体的步骤;经由由石英构成且与喷嘴249a不同的作为第二喷嘴的喷嘴249b,对处理室201内的晶片200供给含O气体的步骤;和经由喷嘴249b对处理室201内的晶片200供给含H气体的步骤。
需要说明是,在图9所示的成膜时序中,作为一个例子,通过将非同时、即非同步地进行下述步骤的循环实施规定次数(n次),从而在晶片200上形成包含Si、O、C及N的膜、即碳氮氧化硅膜(SiOCN膜),所述步骤为:经由喷嘴249a对处理室201内的晶片200供给HCDS气体的步骤1;经由喷嘴249a对处理室201内的晶片200供给C3H6气体的步骤2;经由喷嘴249b对处理室201内的晶片200供给O2气的步骤3;和经由喷嘴249b对处理室201内的晶片200供给NH3气的步骤4。需要说明是,也可以将SiOCN膜称为包含C的氮氧化硅膜(SiON膜)、添加(掺杂)有C的SiON膜、含C的SiON膜。
在本说明书中,为了便于说明,有时也将图9所示的成膜时序如下所示。需要说明是,在以下的变形例、其他实施方式的说明中,也使用同样的表示方式。
Figure BDA0002377027290000211
在本说明书中使用术语“晶片”时,有时指“晶片本身”,有时指“由晶片和形成于其表面的规定层、膜等而得到的层合体(集合体)”,也就是说,有时包括形成于表面的规定层或膜等在内地称为晶片。另外,在本说明书中使用术语“晶片的表面”时,有时指“晶片本身的表面(露出面)”,有时指“形成于晶片上的规定层或膜等的表面、即作为层合体的晶片的最外表面”。。
因此,对于本说明书中记载有“对晶片供给规定气体”的情形而言,有时指“对晶片本身的表面(露出面)直接供给规定气体”,有时指“对形成于晶片上的层或膜等、即对作为层合体的晶片的最外表面供给规定气体”。另外,对于本说明书中记载有“在晶片上形成规定层(或膜)”的情形而言,有时指“在晶片本身的表面(露出面)上直接形成规定层(或膜)”,有时指“在形成于晶片上的层或膜等上、即在作为层合体的晶片的最外表面上形成规定层(或膜)”。
另外,本说明书中使用术语“衬底”的情形也与使用术语“晶片”的情形为相同的含义,这种情况下,在上述说明中,可以将“晶片”替换为“衬底”。
(晶片填充及晶舟装载)
在晶舟217中装填(晶片填充)数片晶片200。之后,如图1所示,通过晶舟升降机115举起支承有数片的晶片200的晶舟217,将其搬入(晶舟装载)处理室201内。在该状态下,成为下述状态:密封盖219介由O型环220将反应管203的下端封闭。
(压力调节及温度调节)
通过真空泵246进行真空排气(减压排气),以使得处理室201内、即晶片200所存在的空间成为所希望的压力(真空度)。此时,利用压力传感器245来测定处理室201内的压力,基于其测得的压力信息来反馈控制APC阀244。真空泵246至少在直到对晶片200的处理结束之前的期间维持始终工作的状态。另外,利用加热器207将处理室201内的晶片200加热到所希望的温度。此时,基于温度传感器263检测到的温度信息来反馈控制向加热器207的通电情况,以使得处理室201内成为所希望的温度分布。利用加热器207对处理室201内进行的加热至少在直到对晶片200的处理结束之前的期间持续进行。另外,利用旋转机构267开始晶舟217及晶片200的旋转。对于利用旋转机构267进行的晶舟217及晶片200的旋转,至少在直到对晶片200的处理结束之前的期间持续进行。
(SiOCN膜的形成处理)
之后,依次执行下述4个步骤、即步骤1~4。
[步骤1]
在该步骤中,对处理室201内的晶片200供给HCDS气体。
打开阀243a,在气体供给管232a内流过HCDS气体。HCDS气体通过MFC241a进行流量调节,其经由喷嘴249a而被供给至处理室201内,并从排气管231排出。此时,对晶片200供给HCDS气体。此时,同时打开阀243c,在气体供给管232c内流过N2气。N2气体通过MFC241c进行流量调节,其与HCDS气体一起被供给至处理室201内,并从排气管231排出。
另外,为了防止HCDS气体侵入到喷嘴249b内,打开阀243d,在气体供给管232d内流过N2气。N2气经由气体供给管232b、喷嘴249b而被供给至处理室201内,并从排气管231排出。
通过MFC241a控制的HCDS气体的供给流量例如为1~2000sccm、优选为10~1000sccm的范围内的流量。通过MFC241c、241d控制的N2气的供给流量例如分别为100~10000sccm的范围内的流量。处理室201内的压力例如为1~2666Pa、优选为67~1333Pa的范围内的压力。对晶片200供给HCDS气体的时间、即气体供给时间(照射时间)例如为1~120秒、优选为1~60秒的范围内的时间。对于加热器207的温度而言,以晶片200的温度成为例如250~700℃、优选300~650℃,更优选350~600℃的范围内的温度的方式进行设定。
若晶片200的温度低于250℃,则存在HCDS不易化学吸附在晶片200上、不能得到实用的成膜速度的情况。通过使晶片200的温度为250℃以上,能够解决该问题。通过使晶片200的温度为300℃以上、进而为350℃以上,能够使HCDS更充分地吸附在晶片200上,得到更充分的成膜速度。
若晶片200的温度高于700℃,则CVD反应过于激烈(发生过剩的气相反应),由此膜厚均匀性容易恶化,难以进行控制。通过使晶片200的温度为700℃以下,能够发生适当的气相反应,由此能够抑制膜厚均匀性的恶化,能够进行控制。特别地,通过使晶片200的温度为650℃以下、进而为600℃以下,从而与气相反应相比表面反应占优势,易于确保膜厚均匀性,容易进行控制。
因此,最好使晶片200的温度为250~700℃、优选300~650℃、更优选350~600℃的范围内的温度。
通过在上述条件下对晶片200供给HCDS气体,从而在晶片200的最外表面上形成例如小于1原子层至数原子层的厚度的包含Cl的含Si层作为第一层。包含Cl的含Si层可以是包含Cl的Si层,也可以是HCDS的吸附层,还可以包括上述两者。
所谓包含Cl的Si层,是指不仅包括由Si构成且包含Cl的连续层、还包括不连续层、它们进行重叠而成的包含Cl的Si薄膜的统称。有时也将由Si构成且包含Cl的连续层称为包含Cl的Si薄膜。对于构成包含Cl的Si层的Si,除了与Cl的键未完全切断的Si以外,也包括与Cl的键完全切断的Si。
HCDS的吸附层不仅包括由HCDS分子构成的连续吸附层,还包括不连续的吸附层。即,HCDS的吸附层包括由HCDS分子构成的1分子层或小于1分子层的厚度的吸附层。构成HCDS的吸附层的HCDS分子也包括Si与Cl的键部分切断的分子。即,HCDS的吸附层可以是HCDS的物理吸附层,也可以是HCDS的化学吸附层,还可以包含上述两者。
此处,所谓小于1原子层的厚度的层,是指不连续形成的原子层,所谓1原子层的厚度的层,是指连续形成的原子层。所谓小于1分子层的厚度的层,是指不连续形成的分子层,所谓1分子层的厚度的层,是指连续形成的分子层。包含Cl的含Si层可包括下述两者:包含Cl的Si层和HCDS的吸附层。但是,如上文所述,对于包含Cl的含Si层而言,使用“1原子层”、“数原子层”等表述来进行表示。
在HCDS气体自分解(热分解)的条件下,即在发生HCDS气体的热分解反应的条件下,通过使Si堆积在晶片200上而形成包含Cl的Si层。在HCDS气体不进行自分解(热分解)的条件下,即在不发生HCDS气体的热分解反应的条件下,通过使HCDS吸附在晶片200上而形成HCDS的吸附层。与在晶片200上形成HCDS的吸附层相比,在晶片200上形成包含Cl的Si层的方式能够提高成膜率,从该观点考虑,优选在晶片200上形成包含Cl的Si层。
如果第一层的厚度大于数原子层,则后述的步骤3、4中的改质作用达不到第一层的整体。另外,第一层的厚度的最小值小于1原子层。因此,第一层的厚度优选为小于1原子层至数原子层。通过使第一层的厚度为1原子层以下、即1原子层或小于1原子层,能够相对提高后述的步骤3,4中的改质反应的作用,能够缩短步骤3,4中的改质反应所需的时间。也能够缩短步骤1中的第一层的形成所需的时间。结果,能够缩短每1循环的处理时间,也能够缩短总体处理时间。即,也能够提高成膜率。另外,通过使第一层的厚度为1原子层以下,也能够提高膜厚均匀性的控制性。
形成第一层后,关闭阀243a,停止供给HCDS气体。此时,一直打开APC阀244,利用真空泵246对处理室201内进行真空排气,将残留在处理室201内的未反应或者帮助形成第一层后的HCDS气体从处理室201内排出。此时,一直打开阀243c、243d,持续向处理室201内供给N2气。N2气作为吹扫气体发挥作用,由此,能够提高将残留于处理室201内的气体从处理室201内排出的效果。
此时,可以不完全排出残留于处理室201内的气体,还可以不完全吹扫处理室201内。若残留于处理室201内的气体为微量,则在之后进行的步骤2中不会产生不良影响。向处理室201内供给的N2气的流量也不必为大流量,例如,通过供给与反应管203(处理室201)的容积为同等程度量的N2气,就能够以在步骤2中以不产生不良影响的程度进行吹扫。如上所述,通过不完全吹扫处理室201内,可以缩短吹扫时间、提高吞吐量。还能够将N2气的消耗抑制在必要最低限度。
作为原料气体,除了HCDS气体以外,还可使用例如OCTS气体、二氯硅烷(SiH2Cl2,简称:DCS)气体、单氯硅烷(SiH3Cl,简称:MCS)气体、四氯硅烷即四氯化硅(SiCl4,简称:STC)气体、三氯硅烷(SiHCl3,简称:TCS)气体等无机类卤硅烷原料气体。
另外,作为原料气体,可以使用BTCSE气体、BTCSM气体、TCDMDS气体、DCTMDS气体、MCPMDS气体等有机类卤硅烷原料气体。
另外,作为原料气体,可使用例如甲硅烷(SiH4,简称:MS)气体、乙硅烷(Si2H6,简称:DS)气体、丙硅烷(Si3H8,简称:TS)气体等不含卤基的无机类硅烷原料气体。
另外,作为原料气体,也可使用例如二甲基硅烷(SiC2H8,简称:DMS)气体、三甲基硅烷(SiC3H10,简称;TMS)气体、二乙基硅烷(SiC4H12,简称:DES)气体、1,4-二硅杂丁烷(Si2C2H10,简称:DSB)气体等不含卤基的有机类硅烷原料气体。
另外,作为原料气体,也可使用例如三(二甲基氨基)硅烷(Si[N(CH3)2]3H,简称:3DMAS)气体,四(二甲基氨基)硅烷(Si[N(CH3)2]4,简称:4DMAS)气体,双(二乙基氨基)硅烷(Si[N(C2H5)2]2H2,简称:BDEAS)气体,双(叔丁基氨基)硅烷(SiH2[NH(C4H9)]2,简称:BTBAS)气体等不含卤基的氨类(胺类)硅烷原料气体。
需要说明是,在使用也作为C源发挥作用的有机类卤硅烷原料气体、有机类硅烷原料气体作为原料气体的情况下,能够使第一层中含有C。结果,与使用无机类卤硅烷原料气体、无机类硅烷原料气体作为原料气体的情形相比,能够提高最终形成于晶片200上的SiOCN膜中的C浓度。另外,在使用也作为C源及N源发挥作用的氨基系硅烷原料气体作为原料气体的情况下,能够使第一层中分别含有C及N。结果,与使用无机类硅烷原料气体作为原料气体的情形相比,能够分别提高最终形成于晶片200上的SiOCN膜中的C浓度及N浓度。
作为非活性气体,除N2气外,还可使用例如Ar气、He气、Ne气、Xe气等稀有气体。
[步骤2]
步骤1结束后,对处理室201内的晶片200、即形成于晶片200上的第一层供给已通过热进行了活化的C3H6气体。
在该步骤中,按照与步骤1中的阀243a、243c、243d的开闭控制同样的步骤来控制阀243a、243c、243d的开闭。C3H6气体通过MFC241a进行流量调节,其经由喷嘴249a而被供给至处理室201内,并从排气管231排出。此时,对晶片200供给C3H6气体。
通过MFC241a进行控制的C3H6气体的供给流量例如为100~10000sccm的范围内的流量。处理室201内的压力例如为1~5000Pa、优选为1~4000Pa的范围内的压力。处理室201内的C3H6气体的分压例如为0.01~4950Pa的范围内的压力。通过使处理室201内的压力在这样的较高的压力区间内,从而能够利用非等离子体以加热的方式使C3H6气体。通过热使C3H6气体活化然后进行供给的方式能够使较温和的反应发生,容易形成后述的含C层。对晶片200供给C3H6气体的时间、即气体供给时间(照射时间)例如为1~200秒、优选为1~120秒,更优选为1~60秒的范围内的时间。其他处理条件例如为与步骤1同样的处理条件。
此时,向处理室201内流入的气体为热活化后的C3H6气体,并不向处理室201内流入HCDS气体。因此,C3H6气体并不引起气相反应,而是以已活化的状态被供给至晶片200。结果,在步骤1中形成于晶片200上的第一层、即包含Cl的含Si层的表面上形成含碳层(含C层)。含C层既可以为C层,也可以为C3H6的吸附层,还可以包含上述两者。含C层为小于1分子层或者小于1原子层的厚度的层、即不连续的层。例如,在形成C3H6的吸附层作为含C层的情况下,构成C3H6的分子的化学吸附层以不饱和的状态形成。由此,在晶片200的最外表面上形成包含Si、Cl及C的第二层。第二层包含:包含Cl的含Si层、和含C层。需要说明是,根据条件的不同,也存在下述情况:第一层的一部分与C3H6气体发生反应从而将第一层改质(碳化),在第二层中含有SiC层。
含C层必须为不连续的层。在使含C层为连续的层的情况下,包含Cl的含Si层的表面会被含C层整体覆盖。这种情况下,在第二层的表面不存在Si,结果,存在难以进行后述的步骤3中的第二层的氧化反应、后述的步骤4中的第三层的氮化反应的情况。这是因为,在上述那样的处理条件下,虽然O、N与C进行键合,但O、N不易与Si进行键合。为了在后述的步骤3、步骤4中发生所希望的反应,必须使含C层、例如C3H6的化学吸附层等在包含Cl的含Si层上的吸附状态为不饱和状态、为Si露出于第二层的表面的状态。需要说明是,通过使步骤2中的处理条件为上述处理条件范围内的处理条件,从而能够使含C层为不连续的层。
形成第二层后,关闭阀243a,停止C3H6气体的供给。并且,按照与步骤1同样的处理步骤、处理条件,将残留在处理室201内的未反应或者帮助形成含C层后的C3H6气体、反应副产物从处理室201内排出。此时,关于可以不完全地排出残留于处理室201内的气体等方面,与步骤1相同。
作为含C气体,除C3H6气体外,还可使用乙炔(C2H2)气体、乙烯(C2H4)气体等碳化氢类气体。
作为非活性气体,除N2气体外,还可使用例如步骤1中例举的各种稀有气体。
[步骤3]
步骤2结束后,对处理室201内的晶片200、即形成于晶片200上的第二层供给通过热进行了活化的O2气。
在该步骤中,按照与步骤1中的阀243a、243c、243d的开闭控制同样的步骤来控制阀243b~243d的开闭。O2气通过MFC241b进行流量调节,其经由喷嘴249b而被供给至处理室201内,并从排气管231排出。此时,对晶片200供给O2气。
通过MFC241b进行控制的O2气的供给流量例如为100~10000sccm的范围内的流量。处理室201内的压力例如为1~4000Pa、优选为1~3000Pa的范围内的压力。处理室201内的O2气的分压例如为0.01~3960Pa的范围内的压力。通过使处理室201内的压力在这样的较高的压力区间内,能够利用非等离子体以加热的方式使O2气活化。通过热使O2气活化然后进行供给的方式能够使较温和的反应发生,能够温和地进行后述的氧化。对晶片200供给O2气的时间、即气体供给时间(照射时间)例如为1~120秒、优选为1~60秒的范围内的时间。其他处理条件例如为与步骤1同样的处理条件。
此时,向处理室201内流入的气体为热活化后的O2气,并不向处理室201内流入HCDS气体,也不流入C3H6气体。因此,O2气并不会引起气相反应,而是以已活化的状态被供给至晶片200。被供给至晶片200的O2气与步骤2中形成于晶片200上的包含Si、Cl及C的第二层(其包含:包含Cl的含Si层、和含C层)的至少一部分进行反应。由此,第二层被非等离子体以加热的方式氧化,转变(改质)成包含Si、O及C的第三层、即硅酸碳化层(SiOC层)。需要说明是,在形成第三层时,第二层所含的Cl等杂质在利用O2气进行的改质反应的过程中构成至少包含Cl的气体状物质,并从处理室201内排出。即,第二层中的Cl等杂质从第二层中被拉出或者发生脱离,从而与第二层分离。由此,第三层成为Cl等杂质比第二层少的层。
此时,使第二层的氧化反应不饱和。例如,在步骤1中形成数原子层的厚度的包含Cl的含Si层、步骤2中形成小于1原子层的厚度的含C层的情况下,使其表面层(表面的1原子层)的至少一部分氧化。这种情况下,以不使第二层的整体氧化的方式,在第二层的氧化反应为不饱和的条件下进行氧化。需要说明是,虽然根据条件的不同也能够使第二层的表面层下方的数层氧化,但仅使其表面层氧化的方式能够提高最终形成于晶片200上的SiOCN膜的组成比的控制性,故而是优选的。另外,在例如步骤1中形成1原子层或者小于1原子层的厚度的包含Cl的含Si层、步骤2中形成小于1原子层的厚度的含C层的情况下,也同样使其表面层的一部分氧化。这种情况下,也以不使第二层的整体氧化的方式,在第二层的氧化反应为不饱和的条件下进行氧化。需要说明是,通过使步骤3中的处理条件为上述处理条件范围内的处理条件,从而能够使第二层的氧化反应成为不饱和。
需要说明是,此时,特别地,可以以提高O2气的稀释率(降低浓度)、缩短O2气的供给时间、或降低O2气的分压的方式来调节上述处理条件。例如,可以与步骤2、4相比,提高反应气体的稀释率、缩短反应气体的供给时间、或降低反应气体的分压。由此,能够适度降低步骤3中的氧化性,更容易使第二层的氧化反应成为不饱和。
通过降低步骤3中的氧化性,从而能够在氧化过程中抑制C从第二层中的脱离。与Si-C键相比,Si-O键的键能大,因此,如果形成Si-O键,则有Si-C键发生断裂的趋势。与此相对,通过适度降低步骤3中的氧化性,从而在第二层中形成Si-O键时能够抑制Si-C键发生断裂,能够抑制与Si的键发生断裂后的C从第二层脱离。
另外,通过降低步骤3中的氧化性,能够维持在氧化处理后的第二层、即第三层的最外表面上露出有Si的状态。通过维持在第三层的最外表面上露出有Si的状态,从而在后述的步骤4中容易使第三层的最外表面氮化。于在第三层的最外表面的整体范围内形成有Si-O键、Si-C键、并在其最外表面上未露出有Si的状态下,有在后述的步骤4的条件下难以形成Si-N键的趋势。然而,通过维持在第三层的最外表面上露出有Si的状态,即,通过使第三层的最外表面预先存在能够在后述的步骤4的条件下与N进行键合的Si,从而容易形成Si-N键。
形成第三层后,关闭阀243b,停止O2气体的供给。并且,按照与步骤1同样的处理步骤、处理条件,将残留在处理室201内的未反应或者帮助形成第三层后的O2气、反应副产物从处理室201内排出。此时,关于可以不完全地排出残留于处理室201内的气体等方面,与步骤1相同。
作为氧化气体,除O2气外,还可使用水蒸气(H2O气体)、一氧化氮(NO)气体、一氧化二氮(N2O)气体、二氧化氮(NO2)气体、一氧化碳(CO)气体、二氧化碳(CO2)气体、臭氧(O3)气体等含O气体。
作为非活性气体,除N2气外,还可使用例如步骤1中例举过的各种稀有气体。
[步骤4]
步骤3结束后,对处理室201内的晶片200、即形成于晶片200上的第三层供给通过热进行了活化的NH3气。
在该步骤中,按照与步骤1中的阀243a、243c、243d的开闭控制同样的步骤来控制阀243b~243d的开闭。NH3气通过MFC241b进行流量调节,其经由喷嘴249b而被供给至处理室201内,并从排气管231排出。此时,对晶片200供给NH3气。
通过MFC24 1b进行控制的NH3气的供给流量例如为100~10000sccm的范围内的流量。处理室201内的压力例如为1~4000Pa、优选为1~3000Pa的范围内的压力。处理室201内的NH3气体的分压例如为0.01~3960Pa的范围内的压力。通过使处理室201内的压力在这样的较高的压力区间内,从而能够利用非等离子体以加热的方式使NH3气活化。通过热使NH3气活化然后进行供给的方式能够使较温和的反应发生,能够温和地进行后述的氮化。对晶片200供给NH3气的时间、即气体供给时间(照射时间)例如为1~120秒、优选为1~60秒的范围内的时间。其他处理条件例如为与步骤1同样的处理条件。
此时,向处理室201内流入的气体为热活化后的NH3气,并不向处理室201内流入HCDS气体、C3H6气体或O2气。因此,NH3气并不引起气相反应,而是以已活化的状态被供给至晶片200。被供给至晶片200的的NH3气与步骤3中形成于晶片200上的第三层(SiOC层)的至少一部分进行反应。由此,第三层被非等离子体以加热的方式氮化,转变(改质)成包含Si、O、C及N的第四层、即碳氮氧化硅层(SiOCN层)。需要说明是,在形成第四层时,第三层所含的Cl等杂质在利用NH3气进行的改质反应的过程中构成至少包含Cl的气体状物质,并从处理室201内排出。即,第三层中的Cl等杂质从第三层中中被拉出或者发生脱离,从而与第三层分离。由此,第四层成为Cl等杂质比第三层少的层。
另外,通过对晶片200供给已活化的NH3气,从而在第三层被氮化的过程中将第三层的最外表面改质。在氮化的过程中实施了表面改质处理后的第三层的最外表面、即第四层飞最外表面在下一循环中进行的步骤1中,成为使HCDS易于吸附、使Si易于堆积的表面状态。即,在步骤4中使用的NH3气也作为吸附及堆积促进气体(促进HCDS、Si向第四层的最外表面(晶片200的最外表面)的吸附、堆积)发挥作用。
此时,使第三层的氮化反应不饱和。在例如在步骤1~3中形成数原子层的厚度的第三层的情况下,使其表面层(表面的1原子层)的至少一部分氮化。这种情况下,以不使第三层的整体氮化的方式,在第三层的氮化反应为不饱和的条件下进行氮化。需要说明是,虽然根据条件的不同也能够使第三层的表面层下方的数层氮化,但仅使其表面层氮化的方式能够提高最终形成于晶片200上的SiOCN膜的组成比的控制性,故而是优选的。另外,在例如在步骤1~3中形成1原子层或者小于1原子层的厚度的第三层的情况下,也同样使其表面层的一部分氮化。这种情况下,也以不使第三层的整体氮化的方式,在第三层的氮化反应为不饱和的条件下进行氮化。需要说明是,通过使步骤4中的处理条件为上述处理条件范围内的处理条件,从而能够使第三层的氮化反应为不饱和。
形成第四层后,关闭阀243b,停止NH3气的供给。并且,按照与步骤1同样的处理步骤、处理条件,将残留在处理室201内的未反应或者帮助形成第四层后的NH3气、反应副产物从处理室201内排出。此时,关于可以不完全地排出残留于处理室201内的气体等方面,与步骤1相同。
作为氮化气体,除NH3气外,还可使用二氮烯(diazene、N2H2)气体、肼(N2H4)气体、N3H8气体等氮化氢类气体、包含这些化合物的气体等。
作为非活性气体,除N2气外,还可使用例如步骤1中例举过的各种稀有气体。
(实施规定次数)
通过将非同时、即非同步地进行上述4个步骤的循环实施规定次数(n次),从而能够在晶片200上形成规定组成及规定膜厚的SiOCN膜。需要说明是,优选将上述循环重复数次。即,优选的是,使进行1次上述循环时形成的第四层(SiOCN层)的厚度小于所希望的膜厚,将上述循环重复数次,直到通过将第四层(SiOCN层)层合而形成的SiOCN膜的膜厚成为所希望的膜厚。
(吹扫及恢复大气压)
在SiOCN膜的形成结束后,打开阀243c、243d,分别从气体供给管232c、232d向处理室201内供给N2气,并将其从排气管231排出。N2气作为吹扫气体发挥作用。由此,对处理室201内进行吹扫,将残留在处理室201内的气体、反应副产物从处理室201内除去(吹扫)。之后,处理室201内的气氛被置换为非活性气体(非活性气体置换),处理室201内的压力被恢复至常压(恢复大气压)。
(晶舟卸载及晶片取出)
通过晶舟升降机115使密封盖219下降,使反应管203的下端开口。然后,处理完毕后的晶片200在支承于晶舟217的状态下从反应管203的下端被搬出到反应管203的外部(晶舟卸载)。将处理完毕的晶片200从晶舟217上取下(晶片取出)。
(3)由本实施方式带来的效果
根据本实施方式,能获得以下所示的1种或多种效果。
(a)通过使喷嘴前端部271为U字形状,从而能够延长被导入至气体供给喷嘴内的处理气体被加热的时间、距离,能够对晶片均匀地供给已活化的处理气体。
(b)通过在喷嘴249的前端设置气体滞留抑制孔280,从而能够抑制喷嘴前端内的处理气体的滞留。
(c)通过在喷嘴249的前端设置气体滞留抑制孔280,从而能够抑制处理气体的气体流速随着流向喷嘴前端而降低,能够从气体供给孔对晶片均匀地供给处理气体。
(d)通过在喷嘴249的前端设置气体滞留抑制孔280,从而能够抑制在喷嘴249内产生的颗粒等副产物附着在晶片200上。
(e)通过以比气体供给孔250大的方式设置气体滞留抑制孔280的孔径,能够有效地抑制喷嘴前端中的气体的滞留。
(f)通过将气体滞留抑制孔280的孔径设为使所供给的气体流过上游侧管线271-1的最上游的流速与所供给的气体流过下游侧管线271-2的最下游的流速相同那样的大小,从而能够抑制所供给的处理气体成为从气体滞留抑制孔280排气的排气瓶颈,并且能够从气体供给孔250对晶片均匀地供给气体。
(变形例)
接下来,使用图10A~图10C来说明本发明的变形例。
不仅如图10A所示的(a)、(b)、(c)那样在喷嘴249的上游侧管线271-1和下游侧管线271-2这两者上设置气体供给孔250,而且还如图10B所示的(d)那样仅在下游侧管线271-2上设置气体供给孔250,由此容易将加热活化后的处理气体供给至晶片200上,能够获得可提高晶片的面间均匀性的效果。
另外,通过如图10B所示的(e)那样仅在上游侧管线271-1上设置气体供给孔250,从而即使在喷嘴内产生颗粒等副产物,由于未在下游侧管线271-2侧设置气体供给孔250,所以也能够获得容易沿排气方向将颗粒等副产物排出的效果。
另外,通过如图10B所示的(f)及图10B所示的(g)那样以使设置于上游侧管线271-1和下游侧管线271-2上的气体供给孔250的配置高度不同的方式形成气体供给孔250,从而能够获得可向晶片200均匀地供给已活化的处理气体的效果。即,通过如(f)那样设置气体供给孔250,从而在上游侧管线271-1的高度方向中央部和下游侧管线271-2的高度方向中央部易于供给已活化的处理气体,因此,能够向晶片排列区域的中央附近供给大量的处理气体,能够有效地用于在晶片排列区域的中央附近处理气体的反应差的情况。进而通过如(g)那样设置气体供给孔250,从而在晶片排列区域的最上方或者最下方附近易于供给已活化的处理气体,因此,能够有效地用于在晶片排列区域的最上方或者最下方附近处理气体的反应差的情况。
另外,通过如图10C所示的(h)~(k)那样将下游侧管线271-2的长度延伸,从而能够尽可能地在铅垂方向下方设置气体滞留抑制孔280,能够抑制颗粒等副产物附着在晶片200上。这种情况下,优选气体滞留抑制孔280以设置于比支承晶片200的区域靠下方的位置的方式形成。即,通过将下游侧管线271-2的长度延伸从而以位于比支承晶片200的区域靠下方的隔热区域的方式形成气体滞留抑制孔280,从而能够将气体滞留抑制孔280配置在排气口附近,能够将包含颗粒等副产物的气体排出至排气口附近。因此,即使在喷嘴249内产生由堆积膜剥离等导致的颗粒等副产物,从气体滞留抑制孔280排出的气体也能够立即从排气管231的排气口排出,能够抑制颗粒等副产物附着在晶片200上。
进而,如果如图10C所示的(h)那样以也在隔热区域配置气体供给孔250的方式形成,则在供给蚀刻气体而对处理室内进行清洁时能够向隔热区域直接供给蚀刻气体,因此,能够高效地除去堆积在隔热区域中的堆积膜。
<第二实施方式>
接下来,使用图11、图12来说明本发明的第二实施方式。第二实施方式中的衬底处理装置与第一实施方式的不同之处在于,如图11所示,将供给原料气体的喷嘴249a以U字型喷嘴的形式进行配置,代替图1及图2所示的喷嘴249b而将供给反应气体、非活性气体的喷嘴以直管型喷嘴251的形式进行配置。其他构成与第一实施方式相同。
另外,如图12所示,通过仅将供给需要通过加热而进行活化的原料气体的喷嘴249a以U字型喷嘴的形式进行配置,从而能够容易进行维护、或者降低装置成本。
通过如第二实施方式那样进行构成,能够获得以下所示的效果。
(g)通过减少为复杂结构的U字型喷嘴的根数,能够容易地进行装置的维护。
(h)通过减少为复杂结构的U字型喷嘴的根数,能够降低装置成本。
<其他实施方式>
以上,对本发明的实施方式进行了具体说明。然而,本发明并不限定于上述实施方式,在不脱离其主旨的范围内可以进行各种变化,也能够获得上述效果。
例如,在本发明的第一实施方式中,对喷嘴249a和249b使用相同喷嘴的情形进行了说明,但并不限于此,可以为喷嘴249a使用图10A所示的(c),喷嘴249b使用图10B所示的(e)等,以在喷嘴249a和249b上不同的方式设置气体供给孔的设置位置。
另外,例如,对于本实施方式中的成膜时序而言,如下文所示,可以通过变更供给的气体的种类、时机来变更形成的膜。
Figure BDA0002377027290000367
Figure BDA0002377027290000368
Figure BDA0002377027290000369
Figure BDA00023770272900003610
Figure BDA00023770272900003611
Figure BDA00023770272900003612
Figure BDA00023770272900003613
Figure BDA00023770272900003614
Figure BDA00023770272900003615
Figure BDA00023770272900003616
Figure BDA0002377027290000366
Figure BDA0002377027290000365
Figure BDA0002377027290000364
Figure BDA0002377027290000363
Figure BDA0002377027290000362
Figure BDA0002377027290000361
如上述变更例所示,通过任意选择使用反应气体、或者任意变更原料气体与反应气体的供给时序,能够改变所形成的膜的组成、组成比、膜质等。另外,也能够任意组合使用数种的反应气体。例如,也可以在NH3气、TEA气体、HCDS气体中添加(混合)C3H6气体并使用。由此,能够改变所形成的膜的组成、组成比、膜质等。
通过将按照图9所示的成膜时序、各变形例形成的硅系绝缘膜用作侧壁间隔件(sidewall spacer),能够提供漏电流少、加工性优异的装置形成技术。另外,通过将上述硅系绝缘膜用作蚀刻阻挡件(etchstopper),能够提供加工性优异的装置形成技术。另外,根据图9所示的成膜时序、各变形例,不使用等离子体,也能够形成理想的理论混合比的硅系绝缘膜。由于能够在不使用等离子体的情况下形成硅系绝缘膜,所以也能够适用于例如DPT的SADP膜等担心等离子体损害的工序。
需要说明是,在上述变形例中,在对晶片200供给通过热进行了活化的TEA气体的步骤中,通过MFC241b进行控制的TEA气体的供给流量例如为100~10000sccm的范围内的流量。处理室201内的压力例如为1~5000Pa、优选为1~4000Pa的范围内的压力。另外,处理室201内的TEA气体的分压例如为0.01~4950Pa的范围内的压力。对晶片200供给TEA气体的时间、即气体供给时间(照射时间)例如为1~200秒、优选为1~120秒,更优选为1~60秒的范围内的时间。其他处理条件例如为与图9所示的成膜时序的步骤4同样的处理条件。作为包含N、C及H的气体,除TEA气体外,还可使用例如二乙胺((C2H5)2NH,简称:DEA)气体、单乙胺(C2H5NH2,简称:MEA)气体等乙胺类气体、三甲胺((CH3)3N,简称:TMA)气体、二甲胺((CH3)2NH,简称:DMA)气体、单甲胺(CH3NH2,简称:MMA)气体等甲胺类气体等。
其他步骤中的处理步骤、处理条件例如可以与图9所示的成膜时序中的各步骤的处理步骤、处理条件相同。
进而,上述实施方式所说明的成膜时序也可合适地应用于在晶片200上形成包含钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铌(Nb)、铝(Al)、钼(Mo)、钨(W)等金属元素的氧化膜、即金属系氧化膜的情形。即,上述成膜时序也可合适地应用于在晶片200上形成TiOCN膜、TiOC膜、TiON膜、TiO膜、ZrOCN膜、ZrOC膜、ZrON膜、ZrO膜、HfOCN膜、HfOC膜、HfON膜、HfO膜、TaOCN膜、TaOC膜、TaON膜、TaO膜、NbOCN膜、NbOC膜、NbON膜、NbO膜、AlOCN膜、AlOC膜、AlON膜、AlO膜、MoOCN膜、MoOC膜、MoON膜、MoO膜、WOCN膜、WOC膜、WON膜、WO膜的情形。
在形成金属系氧化膜时,作为原料气体,可使用例如四氯化钛(TiCl4)气体、四氟化钛(TiF4)气体、四氯化锆(ZrCl4)气体、四氟化锆(ZrF4)气体、四氯化铪(HfCl4)气体、四氟化铪(HfF4)气体、五氯化钽(TaCl5)气体、五氟化钽(TaF5)气体、五氯化铌(NbCl5)气体、五氟化铌(NbF5)气体、三氯化铝(AlCl3)气体、三氟化铝(AlF3)气体、五氯化钼(MoCl5)气体、五氟化钼(MoF5)气体、六氯化钨(WCl6)气体、六氟化钨(WF6)气体等包含金属元素及卤元素的无机金属原料气体。另外,作为原料气体,也可使用例如三甲基铝(Al(CH3)3,简称:TMA)气体等包含金属元素及碳的有机金属原料气体。作为反应气体,使用与上述实施方式相同的气体。
例如,按照以下所示的成膜时序,可以在晶片200上形成TiON膜、TiO膜。
Figure BDA0002377027290000383
Figure BDA0002377027290000382
Figure BDA0002377027290000381
即,本发明可合适地应用于形成包含半导体元素、金属元素等规定元素的膜的情形。即使在进行这些成膜的情况下,也能够以与上述实施方式同样的处理条件进行成膜,能够获得与上述实施方式同样的效果。
对于用于衬底处理的制程(记载有处理步骤、处理条件等的程序)而言,优选根据处理内容(形成于衬底上的膜的膜种、组成比、膜质、膜厚、处理步骤、处理条件等)分别单独准备,并经由电气通信线路、外部存储装置123预先存储在存储装置121c内。并且,在开始各种处理时,优选的是,CPU121a从存储在存储装置121c内的多个制程中根据处理内容而适当选择合适的制程。由此,能够利用1台衬底处理装置再现性良好地形成各种膜种、组成比、膜质、膜厚的膜。另外,可以降低操作者的负担(处理步骤、处理条件等的输入负担等),避免操作失误,并且可以迅速地开始衬底处理。
上述工艺制程不限于新作成的情况,例如可以通过改变已安装于衬底处理装置中的已有制程来准备。在改变制程时,可以经由电气通信线路、记录有该制程的记录介质将变更后的制程安装于衬底处理装置。另外,还可以操作已有的衬底处理装置所具有的输入输出装置122,直接改变已安装于衬底处理装置中的已有制程。
对使用具有热壁式处理炉的衬底处理装置来形成膜的例子进行了说明。本发明并不限于上述实施方式,也可合适地应用于使用具有冷壁式处理炉的衬底处理装置来形成膜的情形。
在使用上述衬底处理装置的情况下,也可以与上述实施方式、变形例相同的时序、处理条件进行成膜,并能够获得与上述实施方式、变形例相同的效果。
另外,可以将上述实施方式、变形例适当组合。另外,此时的处理条件例如可以为与上述实施方式、变形例相同的处理条件。

Claims (23)

1.气体供给喷嘴,其具有:
上游侧管线,其用于导入气体;
折返部,其连接于所述上游侧管线的下游端,用于改变所述气体的流向;
下游侧管线,其连接于所述折返部;
多个气体供给孔,其分别设置于所述下游侧管线的侧面,并且朝向与经所述折返部而从所述上游侧管线朝向所述下游侧管线的方向交叉的方向。
2.如权利要求1所述的气体供给喷嘴,其中,
所述折返部位于比位于所述下游侧管线的最上位置的位置的所述气体供给孔更靠垂直方向上侧。
3.如权利要求2所述的气体供给喷嘴,其中,所述气体供给孔的最上端接近所述折返部的下游端而设置。
4.如权利要求1所述的气体供给喷嘴,其中,所述多个气体供给孔在排列多个晶片的晶片排列区域的侧方在从该晶片排列区域的一端侧至另一端侧的范围内设置。
5.如权利要求4所述的气体供给喷嘴,其中,所述多个气体供给孔构成为在所述晶片的附近才向所述反应管内喷出所述气体。
6.如权利要求1所述的气体供给喷嘴,其还具备将所述上游侧管线和所述下游侧管线连结的连结部。
7.如权利要求6所述的气体供给喷嘴,其中,所述连结部以将所述上游侧管线的上游侧和所述下游侧管线的下游侧连结的方式设置。
8.如权利要求1所述的气体供给喷嘴,其还具备向所述上游侧管线导入所述气体的喷嘴基端部,
所述喷嘴基端部的导入所述气体的部分的管线的中心构成为位于将所述上游侧管线的中心与所述下游侧管线的中心连结的直线的中间的位置。
9.如权利要求1所述的气体供给喷嘴,其还具备向所述上游侧管线导入所述气体的喷嘴基端部,
所述喷嘴基端部的管线为了与所述上游侧管线连接而构成以将所述喷嘴基端部的导入所述气体的部分的管线的中心与所述上游侧管线的上游端的中心连结的线为中心的管线。
10.如权利要求1所述的气体供给喷嘴,其中,所述气体为包括原料气体、反应气体的处理气体。
11.如权利要求10所述的气体供给喷嘴,其中,所述反应气体为包含选自由含C气体、含O气体、含H气体组成的组中的至少一者的气体。
12.如权利要求10所述的气体供给喷嘴,其中,所述原料气体为包含选自由无机类硅烷原料气体、有机类卤硅烷原料气体、不含卤基的无机类硅烷原料气体、不含卤基的有机类硅烷原料气体、不含卤基的氨类(胺类)硅烷原料气体、包含金属元素及卤元素的无机金属原料气体、包含金属元素及碳的有机金属原料气体组成的组中的至少一者的气体。
13.衬底处理装置,其具有:
反应管,其形成有对衬底进行处理的处理室;
第一气体供给系统;和
控制部,其以对所述多个衬底的各自的表面进行处理的方式控制所述第一气体供给系统,
其中,所述第一气体供给系统具有:用于导入气体的第一上游侧管线;连接于所述第一上游侧管线的下游侧、改变所述气体的流向的折返部;连接于所述折返部的第一下游侧管线;和多个第一气体供给孔,其分别设置于所述第一下游侧管线的侧面,并且朝向与经所述折返部而从所述第一上游侧管线朝向所述第一下游侧管线的方向交叉的方向。
14.如权利要求13所述的衬底处理装置,其还具有第二气体供给系统,所述第二气体供给系统进一步具有第二喷嘴前端部,
所述第二喷嘴前端部包含:用于导入第二气体的第二上游侧管线;连接于所述第二上游侧管线的下游端的第二折返部;和连接于所述第二折返部的下游端的第二下游侧管线,
配置于所述第二上游侧管线及所述第二下游侧管线的侧面的多个第二气体供给孔构成为朝向所述反应管的中心。
15.如权利要求14所述的衬底处理装置,其中,
朝向与所述第二折返部交叉的方的所述多个气体供给孔中的一对气体供给孔构成为:配置于所述第二上游侧管线的侧面的气体供给孔中的各自的距所述第二折返部的距离与配置于所述第二下游侧管线的侧面的气体供给孔中的各自的距所述第二折返部的距离相同,并且分别构成为能够供给所述气体。
16.如权利要求13所述的衬底处理装置,其还具有第二气体供给系统,所述第二气体供给系统进一步具有第二喷嘴前端部,
所述第二喷嘴前端部包含:用于导入第二气体的第二上游侧管线;连接于所述第二上游侧管线的下游端的第二折返部;和连接于所述第二折返部的下游端的第二下游侧管线,
配置于所述第二下游侧管线的侧面的多个第二气体供给孔构成为朝向所述反应管的中心。
17.如权利要求14或16所述的衬底处理装置,其中,
所述控制部构成为:
经由所述第一气体供给系统供给反应气体,
经由所述第二气体供给系统供给原料气体。
18.如权利要求17所述的衬底处理装置,其中,所述反应气体为包含选自由含C气体、含O气体、含H气体组成的组中的至少一者的气体。
19.如权利要求17所述的衬底处理装置,其中,所述原料气体为包含选自由无机类硅烷原料气体、有机类卤硅烷原料气体、不含卤基的无机类硅烷原料气体、不含卤基的有机类硅烷原料气体、不含卤基的氨类硅烷原料气体、包含金属元素及卤元素的无机金属原料气体、包含金属元素及碳的有机金属原料气体组成的组中的至少一者的气体。
20.如权利要求16所述的衬底处理装置,其还具有第三气体供给系统,
所述第三气体供给系统具有第三喷嘴基端部,所述第三喷嘴基端部包含:用于导入第三气体的第三气体供给管;第三延长管,其在第三气体供给管的下游端在所述反应管内垂直地立设,
连结于所述第三延长管的下游端的直管型喷嘴构成为将第三气体供给孔配置于所述直管型喷嘴的侧面。
21.如权利要求20所述的衬底处理装置,其构成为经由所述第三气体供给系统供给非活性气体。
22.半导体器件的制造方法,其具有经由气体供给喷嘴供给气体而对衬底进行处理的工序,所述气体供给喷嘴具有:
上游侧管线,其用于导入气体;折返部,其连接于所述上游侧管线的下游侧,改变所述处理气体的流向;下游侧管线,其连接于所述折返部;和多个气体供给孔,其分别设置于所述下游侧管线的侧面,并且朝向与经所述折返部而从所述上游侧管线朝向所述下游侧管线的方向交叉的方向。
23.计算机可读取的记录介质,其存储有通过控制部使衬底处理装置执行下述步骤的程序,所述步骤为:
将衬底收纳于衬底处理装置的处理室的步骤;和
从气体供给喷嘴供给处理气体而对所述衬底进行处理的步骤,
其中,所述衬底处理装置具有:
反应管,其形成有对衬底进行处理的处理室;
气体供给喷嘴,其具有:上游侧管线,其用于导入气体;折返部,其连接于所述上游侧管线的下游侧,改变所述处理气体的流向;下游侧管线,其连接于所述折返部;和多个气体供给孔,其分别设置于所述下游侧管线的侧面,并且朝向与经所述折返部而从所述上游侧管线朝向所述下游侧管线的方向交叉的方向;和
控制部,其以对所述衬底进行处理的方式控制所述气体供给喷嘴。
CN202010072894.0A 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法 Active CN111261503B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010072894.0A CN111261503B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2015142902 2015-07-17
JP2015-142902 2015-07-17
JP2016-108773 2016-05-31
JP2016108773A JP6578243B2 (ja) 2015-07-17 2016-05-31 ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム
CN201610526212.2A CN106356289B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
CN202010072894.0A CN111261503B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610526212.2A Division CN106356289B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Publications (2)

Publication Number Publication Date
CN111261503A true CN111261503A (zh) 2020-06-09
CN111261503B CN111261503B (zh) 2024-04-16

Family

ID=57946546

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201910170501.7A Active CN109943827B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
CN202010072894.0A Active CN111261503B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
CN201910834858.0A Active CN110534461B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201910170501.7A Active CN109943827B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910834858.0A Active CN110534461B (zh) 2015-07-17 2016-07-05 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Country Status (4)

Country Link
US (2) US10081868B2 (zh)
JP (4) JP6578243B2 (zh)
KR (5) KR20170009725A (zh)
CN (3) CN109943827B (zh)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102412614B1 (ko) * 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6546872B2 (ja) * 2016-04-07 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154823A1 (ja) * 2017-02-23 2018-08-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6780557B2 (ja) 2017-03-21 2020-11-04 東京エレクトロン株式会社 ガス供給部材及びガス処理装置
JP6759137B2 (ja) 2017-03-24 2020-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6952595B2 (ja) * 2017-12-20 2021-10-20 東京エレクトロン株式会社 縦型熱処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR20230113657A (ko) 2018-03-23 2023-07-31 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7012585B2 (ja) * 2018-04-12 2022-01-28 東京エレクトロン株式会社 熱処理装置及び熱処理方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP1624352S (zh) * 2018-07-19 2019-02-12
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6920262B2 (ja) 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7037526B2 (ja) * 2019-09-10 2022-03-16 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112575312B (zh) * 2019-09-30 2023-08-29 长鑫存储技术有限公司 薄膜制备设备以及薄膜制备方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7365973B2 (ja) * 2020-06-19 2023-10-20 東京エレクトロン株式会社 ガスノズル、基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP1685215S (zh) * 2020-08-18 2021-05-17
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
JP2020205438A (ja) * 2020-09-02 2020-12-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP7308241B2 (ja) * 2021-08-20 2023-07-13 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024049208A (ja) 2022-09-28 2024-04-09 株式会社Kokusai Electric ガス供給体、処理装置及び半導体装置の製造方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318556A (ja) * 1993-05-06 1994-11-15 Nippon Steel Corp 熱処理炉
JPH08316221A (ja) * 1995-05-24 1996-11-29 Nec Kyushu Ltd 半導体基板の熱処理装置
JP2001140077A (ja) * 1999-11-11 2001-05-22 Sony Corp 半導体製造装置
CN101150050A (zh) * 2006-09-22 2008-03-26 东京毅力科创株式会社 半导体处理用氧化装置和方法
JP2011199214A (ja) * 2010-03-24 2011-10-06 Hitachi Kokusai Electric Inc 熱処理装置、半導体装置の製造方法、及び、基板の製造方法
US20140357058A1 (en) * 2013-06-03 2014-12-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
TW546399B (en) * 2000-02-15 2003-08-11 Macronix Int Co Ltd Vertical low-pressure chemical vapor deposition furnace
JP2001267309A (ja) * 2000-03-16 2001-09-28 Hitachi Kokusai Electric Inc 半導体製造装置
JP3980840B2 (ja) 2001-04-25 2007-09-26 東京エレクトロン株式会社 気相成長装置および気相成長膜形成方法
JP2004006551A (ja) 2002-06-03 2004-01-08 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP4238812B2 (ja) * 2003-11-20 2009-03-18 東京エレクトロン株式会社 被処理体の酸化装置
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4983159B2 (ja) * 2006-09-01 2012-07-25 東京エレクトロン株式会社 被処理体の酸化方法、酸化装置及び記憶媒体
JP5211464B2 (ja) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 被処理体の酸化装置
JP2009295729A (ja) 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069723A (ja) 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
JP2013066829A (ja) * 2011-09-21 2013-04-18 Tokyo Electron Ltd 処理液吐出ノズル及び基板処理装置
JP5887962B2 (ja) 2012-01-31 2016-03-16 東京エレクトロン株式会社 成膜装置
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法
JP5958231B2 (ja) 2012-09-24 2016-07-27 東京エレクトロン株式会社 縦型熱処理装置
KR101396601B1 (ko) * 2013-02-26 2014-05-20 주식회사 테라세미콘 배치식 기판처리 장치
KR101555238B1 (ko) * 2013-04-29 2015-09-24 국제엘렉트릭코리아 주식회사 퍼니스형 반도체 설비
JP7007481B2 (ja) * 2018-07-05 2022-01-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318556A (ja) * 1993-05-06 1994-11-15 Nippon Steel Corp 熱処理炉
JPH08316221A (ja) * 1995-05-24 1996-11-29 Nec Kyushu Ltd 半導体基板の熱処理装置
JP2001140077A (ja) * 1999-11-11 2001-05-22 Sony Corp 半導体製造装置
CN101150050A (zh) * 2006-09-22 2008-03-26 东京毅力科创株式会社 半导体处理用氧化装置和方法
JP2011199214A (ja) * 2010-03-24 2011-10-06 Hitachi Kokusai Electric Inc 熱処理装置、半導体装置の製造方法、及び、基板の製造方法
US20140357058A1 (en) * 2013-06-03 2014-12-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium

Also Published As

Publication number Publication date
US20180363137A1 (en) 2018-12-20
JP7150923B2 (ja) 2022-10-11
JP2022179556A (ja) 2022-12-02
US20170051408A1 (en) 2017-02-23
KR102657085B1 (ko) 2024-04-15
KR20180090767A (ko) 2018-08-13
JP7382471B2 (ja) 2023-11-16
JP6578243B2 (ja) 2019-09-18
CN110534461A (zh) 2019-12-03
JP2019204962A (ja) 2019-11-28
CN109943827A (zh) 2019-06-28
KR20210127688A (ko) 2021-10-22
CN111261503B (zh) 2024-04-16
KR20210035795A (ko) 2021-04-01
JP2021097245A (ja) 2021-06-24
US10081868B2 (en) 2018-09-25
JP2017028256A (ja) 2017-02-02
KR102239085B1 (ko) 2021-04-13
KR102276878B1 (ko) 2021-07-14
KR102459905B1 (ko) 2022-10-27
JP6902576B2 (ja) 2021-07-14
KR20170009725A (ko) 2017-01-25
CN110534461B (zh) 2023-12-26
KR20190022590A (ko) 2019-03-06
CN109943827B (zh) 2022-03-22

Similar Documents

Publication Publication Date Title
CN109943827B (zh) 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
KR101749413B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN107112235B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN106356289B (zh) 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
KR101786301B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JPWO2018055700A1 (ja) 基板処理装置、半導体装置の製造方法および電極固定ユニット
US10287680B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6654232B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20240054233A (ko) 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
CN116057677A (zh) 半导体器件的制造方法、衬底处理装置及程序

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant