KR20190022590A - 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 - Google Patents

가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 Download PDF

Info

Publication number
KR20190022590A
KR20190022590A KR1020190020770A KR20190020770A KR20190022590A KR 20190022590 A KR20190022590 A KR 20190022590A KR 1020190020770 A KR1020190020770 A KR 1020190020770A KR 20190020770 A KR20190020770 A KR 20190020770A KR 20190022590 A KR20190022590 A KR 20190022590A
Authority
KR
South Korea
Prior art keywords
gas
gas supply
pipe
nozzle
downstream
Prior art date
Application number
KR1020190020770A
Other languages
English (en)
Other versions
KR102276878B1 (ko
Inventor
코스께 다까기
료따 사사지마
신따로 코구라
나오노리 아까에
리사 야마꼬시
토시끼 후지노
마사또 데라사끼
마사요시 미나미
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20190022590A publication Critical patent/KR20190022590A/ko
Priority to KR1020210036253A priority Critical patent/KR102459905B1/ko
Application granted granted Critical
Publication of KR102276878B1 publication Critical patent/KR102276878B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판간에 있어서의 막 두께 균일성을 향상시키는 것이 가능한 기술을 제공한다. 기판을 처리하는 처리실 내에 수직 방향으로 연장하여 설치되고, 상기 기판을 처리하는 처리 가스가 도입되는 노즐 기단부와, U자형으로 구성되고 상기 기판측의 측면에 상기 처리 가스를 상기 처리실 내로 공급하는 가스 공급 구멍을 형성한 노즐 선단부와, 상기 노즐 선단부의 하류단에 형성되고 상기 가스 공급 구멍보다도 큰 직경을 갖는 가스 체류 억제 구멍을 갖는 기술을 제공할 수 있다.

Description

가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 {GAS SUPPLY NOZZLE, SUBSTRATE PROCESSING DEVICE, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND PROGRAM}
본 발명은 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램에 관한 것이다.
반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 복수 다단으로 배치된 처리실 내의 기판에 대하여 원료 가스와 반응 가스를 비동시에 공급함으로써, 기판 상에 막을 형성하는 처리가 행해지는 경우가 있다.
일본 특허 공개 제2009-295729호 공보
그러나, 최근에는, 이러한 반도체 장치는 고집적화의 경향이 있고, 패턴 사이즈가 현저하게 미세화되어 있기 때문에, 기판 상에 막을 균일하게 형성하는 것이 곤란해지고 있다. 본 발명의 목적은, 기판간에 있어서의 막 두께 균일성을 향상시키는 것이 가능한 기술을 제공하는 데 있다.
본 발명의 일 형태에 따르면,
기판을 처리하는 처리실 내에 수직 방향으로 연장하여 설치되고, 상기 기판을 처리하는 처리 가스가 도입되는 노즐 기단부와,
U자형으로 구성되고 상기 기판측의 측면에 상기 처리 가스를 상기 처리실 내로 공급하는 가스 공급 구멍을 형성한 노즐 선단부와,
상기 노즐 선단부의 하류단에 형성되고 상기 가스 공급 구멍보다도 큰 직경을 갖는 가스 체류 억제 구멍을 갖는 기술이 제공된다.
본 발명에 따르면, 기판간에 있어서의 막 두께 균일성을 향상시키는 것이 가능하게 된다.
도 1은, 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 종단면도로 도시하는 도면이다.
도 2는, 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면이다.
도 3은, 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 4는, 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 처리 용기와 노즐의 설치예를 도시하는 도면이다.
도 5는, 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 노즐의 사시도를 도시하는 도면이다.
도 6의 (a)는 도 5의 노즐에서의 파선 영역(A)을 확대한 도면이고, (b)는 도 5의 노즐에서의 파선 영역(B)을 확대한 도면이다.
도 7a의 (a)는 직관형의 노즐 형상에서의 노즐의 높이 방향과 가스의 반응 비율의 관계를 나타낸 도면이고, (b)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경이 φ1.1인 경우에 있어서의 노즐의 높이 방향과 가스의 반응 비율의 관계를 나타낸 도면이다.
도 7b의 (c)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경이 φ4인 경우에 있어서의 노즐의 높이 방향과 가스의 반응 비율의 관계를 나타낸 도면이고, (d)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경이 φ8인 경우에 있어서의 노즐의 높이 방향과 가스의 반응 비율의 관계를 나타낸 도면이다.
도 8의 (a)는 직관형의 노즐 형상에서의 가스 반응 비율 분포의 이미지를 도시하는 도면이고, (b)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ1.1로 한 경우에 있어서의 가스 반응 비율 분포의 이미지를 도시하는 도면이고, (c)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ8로 한 경우에 있어서의 가스 반응 비율 분포의 이미지를 도시하는 도면이다.
도 9는, 본 발명의 일 실시 형태에서 적절하게 사용되는 성막 시퀀스를 도시하는 도면이다.
도 10a의 (a)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 한 경우를 도시하는 도면이고, (b)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ1.1로 한 경우를 도시하는 도면이고, (c)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ8로 한 경우를 도시하는 도면이다.
도 10b의 (d)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 노즐의 폴딩 부분보다 하류측의 노즐에 가스 공급 구멍을 형성한 경우를 도시하는 도면이고, (e)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 노즐의 폴딩 부분보다 상류측의 노즐에 가스 공급 구멍을 형성한 경우를 도시하는 도면이고, (f)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 노즐의 폴딩 부분보다 상류측의 노즐이며 노즐 중심과 노즐 기단부의 사이에 가스 공급 구멍을 형성함과 함께, 노즐의 폴딩 부분보다 하류측의 노즐이며 노즐 중심과 노즐의 폴딩 부분의 사이에 가스 공급 구멍을 형성한 경우를 도시하는 도면이고, (g)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 폴딩 부분보다 상류측의 노즐이며 노즐 중심과 노즐의 폴딩 부분의 사이에 가스 공급 구멍을 형성함과 함께, 노즐의 폴딩 부분보다 하류측의 노즐이며 노즐 중심과 노즐 선단부의 사이에 가스 공급 구멍을 형성한 경우를 도시하는 도면이다.
도 10c의 (h)는 도 5의 노즐 형상에서 노즐 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 노즐의 폴딩 부분보다 하류측의 노즐의 길이를 길게 함과 함께 노즐의 폴딩 부분보다 하류측의 가스 공급 구멍의 설치 위치를 반응관 하부에 위치하도록 형성한 경우를 도시하는 도면이고, (i)는 도 5의 노즐 형상에서 노즐의 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 노즐의 폴딩 부분보다 하류측의 노즐의 길이를 길게 함과 함께, 가스 공급 구멍의 형성 위치를 노즐의 폴딩 부분의 상류와 하류에서 동일한 높이로 한 경우를 도시하는 도면이고, (j)는 도 5의 노즐 형상에서 노즐의 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 노즐의 폴딩 부분보다 하류측의 노즐의 길이를 길게 함과 함께, 노즐의 폴딩 부분보다 상류측에만 가스 공급 구멍을 형성한 경우를 도시하는 도면이고, (k)는 도 5의 노즐 형상에서 노즐의 선단의 가스 체류 억제 구멍의 구멍 직경을 φ4로 하고, 노즐의 폴딩 부분보다 하류측의 노즐의 길이를 길게 함과 함께, 노즐의 폴딩 부분보다 하류측에만 가스 공급 구멍을 형성한 경우를 도시하는 도면이다.
도 11은, 본 발명의 다른 실시 형태에서 적절하게 사용되는 기판 처리 장치의 처리 용기와 노즐의 설치예를 도시하는 도면이다.
도 12는, 본 발명의 다른 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면이다.
<제1 실시 형태>
이하, 본 발명의 일 실시 형태에 대하여, 도 1 내지 도 3을 사용하여 설명한다.
(1) 기판 처리 장치의 구성
(가열 장치)
도 1에 도시하는 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 유지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는, 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료를 포함하고, 상단부가 폐색되고 하단부가 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 통 중공부에는, 처리실(201)이 형성되어 있다. 처리실(201)은, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세에서 수직 방향으로 다단으로 정렬한 상태에서 수용 가능하게 구성되어 있다.
(가스 공급부)
처리실(201) 내에는, 후술하는 제1 가스 공급 노즐로서의 노즐(249a), 제2 가스 공급 노즐로서의 노즐(249b)이, 반응관(203)의 하부 측벽을 관통하도록 각각 삽입되어 있다. 노즐(249a, 249b)은 각각 석영을 포함하고 있다. 노즐(249a, 249b)에는 가스 공급관(232a, 232b)이 각각 접속되어 있다. 이와 같이, 반응관(203)에는 2개의 노즐(249a, 249b)과 2개의 가스 공급관(232a, 232b)이 설치되어 있고, 처리실(201) 내로 복수 종류의 가스를 공급하는 것이 가능하게 되어 있다.
단, 본 실시 형태의 처리로(202)는 상술한 형태에 한정되지 않는다. 예를 들어, 반응관(203)의 하방에, 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을, 매니폴드의 측벽을 관통하도록 설치해도 된다. 이 경우, 매니폴드에, 후술하는 배기관(231)을 더 설치해도 된다. 이 경우라도, 배기관(231)을 매니폴드가 아니라, 반응관(203)의 하부에 설치해도 된다. 이와 같이, 처리로(202)의 로 입구부를 금속제로 하고, 이 금속제의 로 입구부에 노즐 등을 설치해도 된다.
가스 공급관(232a, 232b)에는, 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a, 241b) 및 개폐 밸브인 밸브(243a, 243b)가 각각 설치되어 있다. 가스 공급관(232a, 232b)의 밸브(243a, 243b)보다 하류측에는, 불활성 가스를 공급하는 가스 공급관(232c, 232d)이 각각 접속되어 있다. 가스 공급관(232c, 232d)에는, 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 MFC(241c, 241d) 및 개폐 밸브인 밸브(243c, 243d)가 각각 설치되어 있다.
가스 공급관(232a, 232b)의 선단부에는, 후에 상세하게 설명하는 노즐(249a, 249b)이 각각 접속되어 있다. 또한, 노즐(249a, 249b)을 총칭하여 간단히 노즐(249)이라고도 칭한다. 노즐(249a, 249b)은, 도 2에 도시하는 바와 같이, 반응관(203)의 내벽과 웨이퍼(200)의 사이에서의 원환상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 배열 방향 상방을 향하여 일어서도록 각각 설치되어 있다. 즉, 노즐(249a, 249b)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 즉, 노즐(249a, 249b)은, 처리실(201) 내로 반입된 웨이퍼(200)의 단부(주연부)의 측방에 웨이퍼(200)의 표면(평탄면)과 수직으로 각각 설치되어 있다. 노즐(249a, 249b)은, L자형의 롱 노즐로서 각각 구성되어 있고, 그들의 각 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있고, 그들의 각 수직부는 적어도 웨이퍼 배열 영역의 일단부측으로부터 타단부측을 향하여 일어서도록 설치되어 있다. 노즐(249a, 249b)의 측면에는, 가스를 공급하는 가스 공급 구멍(250a와 250c, 250b와 250d)이 각각 형성되어 있다. 또한, 노즐(249a)에 형성된 가스 공급 구멍(250a와 250c)을 간단히 가스 공급 구멍(250a)(250c)이라고 칭하거나, 노즐(249a)에 형성된 가스 공급 구멍(250b와 250d)을 간단히 가스 공급 구멍(250b)(250d)이라고도 칭한다. 또한, 가스 공급 구멍(250a, 250b, 250c, 250d)을 총칭하여 간단히 가스 공급 구멍(250)이라고도 칭한다. 가스 공급 구멍(250a)(250c)과 가스 공급 구멍(250b)(250d)은, 반응관(203)의 중심을 향하도록 각각 개구되어 있고, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하게 되어 있다. 가스 공급 구멍(250a)(250c), 가스 공급 구멍(250b)(250d)의 각각은, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 가지며, 또한 동일한 개구 피치로 형성되어 있다.
이와 같이, 본 실시 형태에서는, 반응관(203)의 측벽의 내벽과, 적층된 복수매의 웨이퍼(200)의 단부(주연부)로 정의되는 원환상의 세로로 긴 공간 내, 즉 원통상의 공간 내에 배치된 노즐(249a, 249b)을 경유하여 가스를 반송하고 있다. 그리고, 노즐(249a, 249b)에 각각 개구된 가스 공급 구멍(250a)(250c), (250b)(250d)으로부터, 웨이퍼(200)의 근방에서 비로소 반응관(203) 내로 가스를 분출시키고 있다. 그리고, 반응관(203) 내에서의 가스의 주요 흐름을, 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 하고 있다. 이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있고, 각 웨이퍼(200)에 형성되는 박막의 막 두께 균일성을 향상시키는 것이 가능하게 된다. 웨이퍼(200)의 표면 상에 흐른 가스, 즉 반응 후의 잔류 가스는, 배기구, 즉 후술하는 배기관(231)의 방향을 향하여 흐른다. 단, 이 잔류 가스의 흐름 방향은, 배기구의 위치에 따라 적절히 특정되며, 수직 방향에 한정된 것은 아니다.
가스 공급관(232a)으로부터는, 원료 가스로서, 예를 들어 소정 원소로서의 Si 및 할로겐 원소를 포함하는 할로실란 원료 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통하여 처리실(201) 내로 공급된다.
할로실란 원료 가스란, 기체 상태의 할로실란 원료, 예를 들어 상온 상압하에서 액체 상태인 할로실란 원료를 기화함으로써 얻어지는 가스나, 상온 상압하에서 기체 상태인 할로실란 원료 등을 말한다. 할로실란 원료란, 할로겐기를 갖는 실란 원료를 말한다. 할로겐기에는 클로로기, 플루오로기, 브로모기, 요오드기 등이 포함된다. 즉, 할로겐기에는 염소(Cl), 불소(F), 브롬(Br), 요오드(I) 등의 할로겐 원소가 포함된다. 할로실란 원료는, 할로겐화물의 1종이라고도 할 수 있다. 본 명세서에 있어서 「원료」라고 하는 말을 사용한 경우에는, 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다.
할로실란 원료 가스로서는, 예를 들어 Si 및 Cl을 포함하는 C 비함유의 원료 가스, 즉 무기계의 클로로실란 원료 가스를 사용할 수 있다. 무기계의 클로로실란 원료 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스나, 옥타클로로트리실란(Si3Cl8, 약칭: OCTS) 가스 등을 사용할 수 있다. 이들 가스는, 1 분자 중에 적어도 2개의 Si를 포함하고, 또한 Cl을 포함하고, Si-Si 결합을 갖는 원료 가스라고도 할 수 있다. 이들 가스는, 후술하는 성막 처리에 있어서, Si 소스로서 작용한다.
또한, 할로실란 원료 가스로서는, 예를 들어 Si, Cl 및 알킬렌기를 포함하고, Si-C 결합을 갖는 원료 가스, 즉 유기계의 클로로실란 원료 가스인 알킬렌클로로실란 원료 가스를 사용할 수도 있다. 알킬렌기에는 메틸렌기, 에틸렌기, 프로필렌기, 부틸렌기 등이 포함된다. 알킬렌클로로실란 원료 가스를, 알킬렌할로실란 원료 가스라고 칭할 수도 있다. 알킬렌클로로실란 원료 가스로서는, 예를 들어 비스(트리클로로실릴)메탄((SiCl3)2CH2, 약칭: BTCSM) 가스, 에틸렌비스(트리클로로실란) 가스, 즉 1,2-비스(트리클로로실릴)에탄((SiCl3)2C2H4, 약칭: BTCSE) 가스 등을 사용할 수 있다. 이들 가스는, 1 분자 중에 적어도 2개의 Si를 포함하고, 또한 C 및 Cl을 포함하고, Si-C 결합을 갖는 원료 가스라고도 할 수 있다. 이들 가스는, 후술하는 성막 처리에 있어서, Si 소스로서도 작용하고, C 소스로서도 작용한다.
또한, 할로실란 원료 가스로서는, 예를 들어 Si, Cl 및 알킬기를 포함하고, Si-C 결합을 갖는 원료 가스, 즉 유기계의 클로로실란 원료 가스인 알킬클로로실란 원료 가스를 사용할 수도 있다. 알킬기에는 메틸기, 에틸기, 프로필기, 부틸기 등이 포함된다. 알킬클로로실란 원료 가스를, 알킬할로실란 원료 가스라고 칭할 수도 있다. 알킬클로로실란 원료 가스로서는, 예를 들어 1,1,2,2-테트라클로로-1,2-디메틸디실란((CH3)2Si2Cl4, 약칭: TCDMDS) 가스, 1,2-디클로로-1,1,2,2-테트라메틸디실란((CH3)4Si2Cl2, 약칭: DCTMDS) 가스, 1-모노클로로-1,1,2,2,2-펜타메틸디실란((CH3)5Si2Cl, 약칭: MCPMDS) 가스 등을 사용할 수 있다. 이들 가스는, 1 분자 중에 적어도 2개의 Si를 포함하고, 또한 C 및 Cl을 포함하고, Si-C 결합을 갖는 원료 가스라고도 할 수 있다. 또한, 이들 가스는 또한 Si-Si 결합도 갖는다. 이들 가스는, 후술하는 성막 처리에 있어서, Si 소스로서도 작용하고, C 소스로서도 작용한다.
HCDS나 BTCSM이나 TCDMDS 등과 같이 상온 상압하에서 액체 상태인 액체 원료를 사용하는 경우에는, 액체 상태의 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(HCDS 가스, BTCSM 가스, TCDMDS 가스 등)로서 공급하게 된다.
또한, 가스 공급관(232a)으로부터는, 원료 가스와는 화학 구조(분자 구조)가 상이한 반응 가스로서, 예를 들어 탄소(C) 함유 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통하여 처리실(201) 내로 공급된다. C 함유 가스로서는, 예를 들어 탄화수소계 가스를 사용할 수 있다. 탄화수소계 가스는, C 및 H의 2 원소만을 포함하는 물질이라고도 할 수 있으며, 후술하는 성막 처리에 있어서 C 소스로서 작용한다. 탄화수소계 가스로서는, 예를 들어 프로필렌(C3H6) 가스를 사용할 수 있다.
또한, 가스 공급관(232b)으로부터는, 원료 가스와는 화학 구조가 상이한 반응 가스로서, 예를 들어 산소(O) 함유 가스가, MFC(241b), 밸브(243b), 노즐(249b)을 통하여 처리실(201) 내로 공급된다. O 함유 가스는, 후술하는 성막 처리에 있어서, 산화 가스, 즉 O 소스로서 작용한다. O 함유 가스로서는, 예를 들어 산소(O2) 가스를 사용할 수 있다.
또한, 가스 공급관(232b)으로부터는, 원료 가스와는 화학 구조가 상이한 반응 가스로서, 예를 들어 수소(H) 함유 가스가, MFC(241b), 밸브(243b), 노즐(249b)을 통하여 처리실(201) 내로 공급된다.
H 함유 가스로서는, 예를 들어 질소(N) 및 수소(H)를 포함하는 가스인 질화수소계 가스를 사용할 수 있다. 질화수소계 가스는, N 및 H의 2 원소만을 포함하는 물질이라고도 할 수 있으며, 질소(N) 함유 가스라고 칭할 수도 있다. N 함유 가스는, 후술하는 성막 처리에 있어서, 질화 가스, 즉 N 소스로서 작용한다. 질화수소계 가스로서는, 예를 들어 암모니아(NH3) 가스를 사용할 수 있다.
또한, H 함유 가스로서는, 예를 들어 N, C 및 H를 포함하는 가스인 아민계 가스를 사용할 수도 있다. 아민계 가스는, C, N 및 H의 3 원소만을 포함하는 물질이라고도 할 수 있으며, N 및 C를 포함하는 가스라고 칭할 수도 있다. 아민계 가스는, 후술하는 성막 처리에 있어서, N 소스로서도 작용하고, C 소스로서도 작용한다. 아민계 가스로서는, 예를 들어 트리에틸아민((C2H5)3N, 약칭: TEA) 가스를 사용할 수 있다. TEA와 같이 상온 상압하에서 액체 상태인 아민을 사용하는 경우에는, 액체 상태의 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 아민계 가스(TEA 가스)로서 공급하게 된다.
또한, H 함유 가스로서는, 예를 들어 N, C 및 H를 포함하는 가스인 유기 히드라진계 가스를 사용할 수도 있다. 유기 히드라진계 가스는, N, C 및 H의 3 원소만을 포함하는 물질이라고도 할 수 있으며, N 및 C를 포함하는 가스라고 칭할 수도 있다. 유기 히드라진계 가스는, 후술하는 성막 처리에 있어서, N 소스로서도 작용하고, C 소스로서도 작용한다. 유기 히드라진계 가스로서는, 예를 들어 트리메틸히드라진((CH3)2N2(CH3)H, 약칭: TMH) 가스를 사용할 수 있다. TMH와 같이 상온 상압하에서 액체 상태인 아민을 사용하는 경우에는, 액체 상태의 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 유기 히드라진계 가스(TMH 가스)로서 공급하게 된다.
또한, H 함유 가스로서는, 예를 들어 수소(H2) 가스나 중수소(D2) 가스 등의 N이나 C 비함유의 가스를 사용할 수도 있다.
가스 공급관(232c, 232d)으로부터는, 불활성 가스로서, 예를 들어 질소(N2) 가스가, 각각 MFC(241c, 241d), 밸브(243c, 243d), 가스 공급관(232a, 232b), 노즐(249a, 249b)을 통하여 처리실(201) 내로 공급된다.
가스 공급관(232a)으로부터 원료 가스를 공급하는 경우, 주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 원료 가스 공급계가 구성된다. 노즐(249a)을 원료 가스 공급계에 포함시켜 생각해도 된다. 원료 가스 공급계를 원료 공급계라고 칭할 수도 있다. 가스 공급관(232a)으로부터 할로실란 원료 가스를 공급하는 경우, 원료 가스 공급계를, 할로실란 원료 가스 공급계, 혹은 할로실란 원료 공급계라고 칭할 수도 있다.
가스 공급계(232a)로부터 C 함유 가스를 공급하는 경우, 주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 C 함유 가스 공급계가 구성된다. 노즐(249a)을 C 함유 가스 공급계에 포함시켜 생각해도 된다. 가스 공급관(232a)으로부터 탄화수소계 가스를 공급하는 경우, C 함유 가스 공급계를, 탄화수소계 가스 공급계, 혹은 탄화수소 공급계라고 칭할 수도 있다.
가스 공급계(232b)로부터 O 함유 가스를 공급하는 경우, 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 O 함유 가스 공급계가 구성된다. 노즐(249b)을 O 함유 가스 공급계에 포함시켜 생각해도 된다. O 함유 가스 공급계를, 산화 가스 공급계, 혹은 산화제 공급계라고 칭할 수도 있다.
가스 공급관(232b)으로부터 H 함유 가스를 공급하는 경우, 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 H 함유 가스 공급계가 구성된다. 노즐(249b)을 H 함유 가스 공급계에 포함시켜 생각해도 된다. 가스 공급관(232b)으로부터 N 및 H를 포함하는 가스를 공급하는 경우, H 함유 가스 공급계를, N 함유 가스 공급계, N 및 H를 포함하는 가스 공급계 등이라고 칭할 수도 있다. 또한, 가스 공급관(232b)으로부터 N, C 및 H를 포함하는 가스를 공급하는 경우, H 함유 가스 공급계를, N 함유 가스 공급계, C 함유 가스 공급계, N 및 C를 포함하는 가스 공급계 등이라고 칭할 수도 있다. N 함유 가스 공급계를, 질화 가스 공급계, 혹은 질화제 공급계라고 칭할 수도 있다. H 함유 가스로서 질화수소계 가스, 아민계 가스, 유기 히드라진계 가스를 공급하는 경우, H 함유 가스 공급계를, 질화수소계 가스 공급계, 아민계 가스 공급계, 유기 히드라진계 가스 공급계 등이라고 칭할 수 있다.
상술한 C 함유 가스 공급계, O 함유 가스 공급계, H 함유 가스 공급계 중 어느 하나, 혹은 모든 가스 공급계를, 반응 가스 공급계, 혹은 반응물 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232c, 232d), MFC(241c, 241d), 밸브(243c, 243d)에 의해, 불활성 가스 공급계가 구성된다. 불활성 가스 공급계를, 퍼지 가스 공급계, 희석 가스 공급계, 혹은 캐리어 가스 공급계라고 칭할 수도 있다.
또한, 노즐(249a, 249b)을 통하여 처리실(201)로 공급되는 원료 가스, 반응 가스, 불활성 가스 등의 가스 모두를 총칭하여 처리 가스라고 칭한다.
(배기부)
반응관(203)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통하여, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는, 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해, 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함시켜 생각해도 된다.
(주변 기구)
반응관(203)의 하방에는, 반응관(203)의 하단부 개구를 기밀하게 폐색 가능한 로 입구 덮개체로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은, 반응관(203)의 하단부에 수직 방향 하측으로부터 접촉되도록 구성되어 있다. 시일 캡(219)은, 예를 들어 SUS 등의 금속을 포함하고, 원반상으로 형성되어 있다. 시일 캡(219)의 상면에는, 반응관(203)의 하단부와 접촉하는 시일 부재로서의 O링(220)이 설치되어 있다.
시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은, 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은, 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는, 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 즉, 보트 엘리베이터(115)는, 보트(217) 즉 웨이퍼(200)를, 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성되어 있다.
(기판 지지구)
기판 지지구로서의 보트(217)는, 복수매, 예를 들어 25 내지 200매의 웨이퍼(200)를 수평 자세에서, 또한 서로 중심을 맞춘 상태에서 수직 방향으로 정렬시켜 다단으로 지지하도록, 즉 간격을 두고 배열시키도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 SiC 등의 내열성 재료를 포함한다. 보트(217)의 하부에는, 예를 들어 석영이나 SiC 등의 내열성 재료를 포함하는 단열판(218)이 수평 자세에서 다단으로 지지되어 있다. 이 구성에 의해, 히터(207)로부터의 열이 시일 캡(219)측으로 전달되기 어렵게 되어 있다. 단, 본 실시 형태는 상술한 형태에 한정되지 않는다. 예를 들어, 보트(217)의 하부에 단열판(218)을 설치하지 않고, 석영이나 SiC 등의 내열성 재료를 포함하는 통상(筒狀)의 부재로서 구성된 단열통을 설치해도 된다.
(온도 센서)
반응관(203) 내에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 된다. 온도 센서(263)는, 노즐(249a, 249b)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라 설치되어 있다.
(제어부)
도 3에 도시하는 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는, 내부 버스(121e)를 통하여, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등을 포함하고 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 노즐의 에칭 처리나 성막 처리의 수순이나 조건 등이 기재된 에칭 레시피나 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 에칭 레시피나 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히 프로그램이라고도 한다. 또한, 에칭 레시피나 프로세스 레시피를, 간단히 레시피라고도 한다.
본 명세서에 있어서 프로그램이라고 하는 말을 사용한 경우에는, 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(241a 내지 241d), 밸브(243a 내지 243d), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 레시피를 판독하도록 구성되어 있다. CPU(121a)는, 판독한 레시피의 내용을 따르도록, MFC(241a 내지 241d)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243d)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성되어 있다.
컨트롤러(121)는, 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)에 저장된 상술한 프로그램을, 컴퓨터에 인스톨함으로써 구성할 수 있다. 기억 장치(121c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성되어 있다. 이하, 이들을 총칭하여, 간단히, 기록 매체라고도 한다. 본 명세서에 있어서 기록 매체라고 하는 말을 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. 또한, 컴퓨터에의 프로그램의 제공은, 외부 기억 장치(123)를 사용하지 않고, 인터넷이나 전용 회선 등의 통신 수단을 사용하여 행해도 된다.
(가스 공급 노즐)
이어서, 도 4 내지 도 8을 사용하여 처리 가스를 공급하기 위한 노즐(249)에 대하여 설명한다.
도 4에 도시하는 바와 같이, 반응관(203) 내에는, 가스를 공급하는 노즐(249a와 249b)이 수직 방향으로 연장되어 있다. 도 5에 도시하는 바와 같이, 노즐(249)은, L자 형상의 노즐 기단부(270)와, U자 형상의 노즐 선단부(271)를 포함하고 있다. 또한, 도 5 및 도 6의 (a), 도 6의 (b)에 도시하는 바와 같이, 노즐 선단부(271)는, 폴딩부(273)와, 노즐 기단부(270)와 폴딩부(273)를 접속하고 있는 상류측 파이프(271-1)와, 폴딩부(273)의 하류단에 접속되는 하류측 파이프(271-2)를 포함하고 있다. 처리실(201) 내로 처리 가스를 공급하는 가스 공급구(250)는, 폴딩부(273)의 폴딩 방향과는 상이한 방향의 상류측 파이프(271-1), 하류측 파이프(271-2)의 측면에 각각 형성되어 있다. 하류측 파이프(271-2)의 하류단에는 노즐 내의 처리 가스 체류 억제를 위한 가스 체류 억제 구멍(280)이 형성되어 있다.
여기서, 폴딩부(273)란, 가스 공급 노즐의 최상위에 위치하는 가스 공급 구멍(250)보다 수직 방향 상측에 있는 가스 공급 노즐(249)을 가리키며, 가스 흐름의 방향을 변경하는 부위를 가리키고 있다. 또한, 본 명세서에 있어서 「폴딩부(273)」라고 하는 말을 사용한 경우에는, 「최상위에 위치하는 가스 공급 구멍(250)보다 수직 방향 상측에 존재하는 노즐(249)」을 의미하는 경우와, 「노즐 선단부(271)에서의 가스 흐름의 방향을 변경하는 부위」를 의미하는 경우의 어느 한쪽, 또는 그 양쪽을 의미하는 경우가 있다.
도 6의 (b)에 도시하는 바와 같이, 가스 체류 억제 구멍(280)은, 노즐(249)에 공급된 처리 가스가 노즐 내부에서 체류하는 것을 억제하기 위해, 가스 공급 구멍(250)보다도 큰 구멍 직경으로 되도록 형성되고, 또한 하류측 파이프(271-2)의 파이프 직경보다 작은 구멍 직경으로 되도록 형성된다. 이와 같이 구성함으로써, 공급된 처리 가스가 하류측 파이프(271-2)의 하류단에 체류하는 것을 억제하는 것을 가능하게 한다. 또한, 가스 체류 억제 구멍(280)에 의한 배기 율속으로 되는 것을 억제하고, 균일하게 활성화된 처리 가스를 가스 공급 구멍(250)으로부터 웨이퍼(200) 상에 효율적으로 공급하는 것을 가능하게 한다.
가령, 가스 체류 억제 구멍(280)의 구멍 직경을 가스 공급 구멍(250)보다 작게 한 경우, 노즐(249) 내에 공급된 가스가 빠지기 어려워져, 하류측 파이프(271-2)의 하류단에 가스가 체류되어 버린다. 이로 인해, 가열 장치에 의해 가열되어 활성화된 처리 가스가, 주로 하류측 파이프(271-2)의 하류단 근방으로부터 많이 공급되기 쉬워져, 수평 다단으로 배열된 웨이퍼(200)에서의 면간의 막 두께 균일성을 얻을 수 없게 되어 버린다. 또한, 활성화된 처리 가스에 의해, 하류측 파이프(271-2)의 하류단 부근의 내벽에 퇴적막이 형성되어 버려, 경우에 따라서는 가스 공급 구멍(250)이 폐색되어 버릴 가능성도 있다. 반대로 가스 체류 억제 구멍(280)의 구멍 직경을 일정한 직경, 예를 들어 하류측 파이프(271-2)의 파이프 직경과 동일한 구멍 직경으로 되도록 형성해 버리면, 공급된 처리 가스는, 가스 체류 억제 구멍(280)으로부터의 배기 율속으로 되어 버려, 가스 공급 구멍(250)으로부터의 가스 공급이 행해지기 어렵게 되어 버린다.
따라서, 가스 체류 억제 구멍(280)은, 가스 공급 구멍(250)의 구멍 직경보다 커지도록 구성될 필요가 있다. 적합하게는, 가스 체류 억제 구멍(280)은 가스 공급 구멍(250)의 구멍 직경의 1.1배 내지 25배의 범위로 되도록 구성되는 것이 바람직하며, 더욱 적합하게는, 가스 체류 억제 구멍(280)은 가스 공급 구멍(250)의 구멍 직경의 5배 내지 15배의 범위로 되도록 구성되는 것이 바람직하다. 또한, 더욱 적합하게는, 가스 체류 억제 구멍(280)의 구멍 직경은, 상류측 파이프(271-1)의 최하위에 위치하는 가스 공급 구멍(250a)(250b)의 하방에 위치하는 상류측 파이프(271-1)의 수평 단면(S1)에 흐르는 가스의 유속과, 하류측 파이프(271-2)의 최하위에 위치하는 가스 공급 구멍(250c)(250d)의 하방에 위치하는 하류측 파이프(271-2)의 수평 단면(S2)에 흐르는 가스의 유속이 동등하게 되도록 구성되는 것이 바람직하다.
또한, 가스 체류 억제 구멍(280)은, 노즐(249)의 선단에 하향으로 형성됨으로써, 예를 들어 도 1이나 도 4와 같이 배기구의 근처에 가스 체류 억제 구멍(280)이 위치하게 된다. 이와 같이 구성함으로써, 웨이퍼(200)에 과잉으로 가스를 공급하는 것을 억제하는 것이 가능해질 뿐만 아니라, 노즐(249) 내에 발생한 파티클 등의 부생성물을, 웨이퍼(200) 상에 부착시키지 않고 배기시키기 쉬워진다.
이어서, 직관형의 노즐(예를 들어 후술하는 도 11의 노즐(251))을 사용하여 가스를 공급한 경우의 노즐 내의 가스 반응 비율(분해 비율)에 대하여 도 7a에 도시한 (a)를 사용하고, 도 5에 도시하는 노즐(249)을 사용하여 가스를 공급한 경우의 노즐(249) 내의 가스의 반응 비율(분해 비율)에 대하여 도 7a의 (b)와, 도 7b의 (c) 내지 (d)와 도 8을 사용하여 설명한다. 도 7a 및 도 7b에서의 평가 조건은, 기판 처리 온도를 600℃로 가열하고, 처리 가스로서 HCDS 가스를 0.3slm, N2 가스를 0.5slm 공급하고, 기판 처리 압력을 50Pa로 하고, 가스 공급 구멍의 구멍 직경과 수를 φ1mm×143개로 하고, 가스 공급 구멍관의 간격(피치)을 대략 8mm로 하고 있다. 또한, 도 7a 및 도 7b에 도시하는 (a) 내지 (d)는, 노즐(249)의 수직 방향의 하방으로부터 상방에 걸쳐 가스 공급 구멍에 일련 번호를 붙이고, 가스 공급 구멍의 일련 번호에 대응한 높이를 나타낸 것을 종축으로 하고, 노즐 내의 압력, 온도, 밀도, 가스 유속을 사용하여 연산하고, 구한 노즐(249) 내 반응 비율(PTρ/Va)을 횡축에 나타내고 있다. 즉, 종축은 노즐(249)의 수직 방향의 높이를, 횡축은 노즐(249) 내에서 반응(분해)되는 가스의 양을 나타내며, 그래프가 직선에 가까운 것이 웨이퍼(200)간의 면간 균일성이 좋다고 판단할 수 있다.
도 7a에 도시하는 (a)에서는, 그래프 상방으로 감에 따라 노즐 내에서 처리 가스가 반응(분해)하고 있음을 알 수 있다. 즉, 처리 가스가 도입되는 노즐 상류부로부터 가장 떨어진 지점에서 처리 가스가 반응하며, 이것을 웨이퍼(200) 상에 형성되는 소정의 막과 대조해 보면, 수직 방향 상방의 웨이퍼(200)로 됨에 따라 막 두께가 커지고, 면간의 균일성이 얻어지지 않았다고 판단할 수 있다. 이것을 노즐 형상의 개략도를 사용하여 분해되는 양의 다소를 색의 농담으로 나타내면, 도 8에 도시하는 (a)와 같이, 노즐 상방을 향함에 따라 색이 짙어지도록 나타내어진다.
이것은, 노즐 상방의 처리 가스는, 노즐 하방의 처리 가스에 비하여 가열되는 시간이나 거리가 길어지는 것이 원인이라고 생각된다. 환언하면, 공급된 처리 가스는, 노즐 상방의 선단부를 향함에 따라 유속이 0m/s에 근접하게 느려지고, 유속이 느려질수록 처리 가스가 가열되기 쉬워지고, 반응하기 쉬워지기 때문이라고 생각된다.
이에 반해, 도 7a에 도시하는 (b)에서는, 도 7a에 도시하는 (a)와는 반대로 그래프 하방으로 감에 따라 노즐(249) 내에서 처리 가스가 반응하고 있음을 알 수 있다. 이것은 처리 가스가 도입되는 노즐 기단부(270)로부터 가장 떨어진 지점에서 처리 가스가 반응하고 있다고 하는 점에서는 도 7a에 도시하는 (a)와 동일하지만, 노즐(249)의 가스 선단부(271)가 U자 형상을 갖고 있고, 처리 가스가 도입되는 노즐 상류부로부터 가장 떨어진 지점인 노즐(249)의 선단이 수직 방향 하방에 위치하는 것이 원인이다. 따라서, 웨이퍼(200) 상에 형성되는 소정의 막은 수직 방향 하방을 향함에 따라 막 두께가 커져, 웨이퍼(200)에서의 면간 균일성은 얻어지기 어렵게 되어 있다.
단, 도 7a에 도시하는 (b)에서는, 구멍 직경이 φ1.1mm로 되는 가스 체류 억제 구멍(280)을 노즐(249)의 선단에 형성하고 있고, 가스 체류 억제 구멍(280)으로부터 처리 가스가 배출되기 때문에, 도 7a에 도시하는 (a)에 비하여 노즐 선단부의 처리 가스의 유속이 빠른 만큼, 웨이퍼(200)에서의 막 두께의 면간 균일성은 개선되고 있다고 판단할 수 있다.
또한, 도 7b에 도시하는 (c)에 있어서도, 도 7a에 도시하는 (b)와 마찬가지로 그래프 하방으로 감에 따라, 노즐(249) 내에서 가스가 반응하고 있음을 알 수 있다. 이것은 도 7a에 도시하는 (b)와 마찬가지로, 노즐(249)의 가스 선단부(271)가 U자 형상을 갖고 있고, 처리 가스가 도입되는 노즐 상류부로부터 가장 떨어진 지점인 노즐(249)의 선단이 수직 방향 하방에 위치하기 때문에, 활성화된 가스가 하방으로 공급되기 쉬워지기 때문이다. 이것을 노즐 형상의 개략도를 사용하여 분해되는 양의 다소를 색의 농담으로 나타내면, 도 8에 도시하는 (b)와 같이, 상류측 파이프(271-1)에서는, 거의 균일한 색의 농도이지만, 하류측 파이프(271-2)에 있어서는, 노즐 하방을 향함에 따라 색이 짙어지도록 나타내어진다.
여기서, 도 7b에 도시하는 (c)에서는, 노즐 하방에서의 반응 비율과 노즐 상방에서의 반응 비율의 괴리가, 도 7a에 도시하는 (b)와 비교하여 억제되어 있음을 알 수 있다. 이것은, 가스 체류 억제 구멍(280)의 구멍 직경이 φ4mm로 도 7a에 도시하는 (b)보다 크기 때문에, 노즐(249)의 선단부에서의 처리 가스의 유속이 더 빨라져, 노즐(249)의 선단부에서의 처리 가스의 체류가 억제되어 있다는 점에서, 웨이퍼(200)에서의 막 두께의 면간 균일성이 현저하게 개선되어 있다고 판단할 수 있다.
도 7b에 도시하는 (d)에 있어서는, 수직 방향에서의 그래프의 기울기는 거의 없어, 노즐 내에서의 반응 비율이 상하에서 거의 균일함을 알 수 있다. 즉, 웨이퍼(200)에서의 면간 균일성이 얻어지고 있음을 알 수 있다. 이것은 도 8에 도시하는 (c)에 도시하는 바와 같이, 가스 체류 억제 구멍(280)의 구멍 직경이 φ8mm로서 형성되어 있기 때문에, 노즐(249)의 선단부에서의 처리 가스의 유속이 도 7b에 도시하는 (c)의 경우보다 빨라져, 노즐 선단부(271)에 도입되는 처리 가스의 유속에 근접해 있기 때문이라고 생각된다. 이와 같이 가스 체류 억제 구멍(280)을 구성함으로써, 가스 공급 노즐(249)에 공급된 처리 가스가, 가스 체류 억제 구멍(280)으로부터의 배기 율속으로 되지 않고 노즐(249)의 선단부에서의 처리 가스의 체류를 억제하고, 또한, 균일하게 가열된 처리 가스가 가스 공급 구멍으로부터 처리실(201) 내로 공급되고 있다고 판단할 수 있다.
이상의 점으로부터, 가스 체류 억제 구멍(280)의 구멍 직경은, 노즐(249)의 노즐 직경에 대하여, 1/90배 이상, 1배 미만의 범위로 되도록 구성되는 것이 바람직하다. 적합하게는, 가스 체류 억제 구멍(280)의 구멍 직경은, 노즐(249)의 노즐 직경에 대하여 0.3배 이상, 0.7배 이하로 되도록 구성되는 것이 바람직하다.
또한, 가스 체류 억제 구멍(280)의 구멍 직경은, 노즐(249)의 선단 면적에 대하여, 0.05배 이상, 1배 미만의 범위로 되도록 구성되는 것이 바람직하다. 적합하게는, 가스 체류 억제 구멍(280)의 구멍 직경은, 노즐(249)의 선단 면적에 대하여, 0.1배 이상, 0.5 이하로 되도록 구성되는 것이 바람직하다.
또한, 가스 체류 억제 구멍(280)은, 노즐(249)의 선단부의 중앙에 형성할 뿐만 아니라, 노즐(249)의 선단면이면 어느 장소에 형성해도 되며, 복수개 형성하도록 해도 된다. 이와 같이 구성함으로써, 보다 효율적으로 노즐(249)의 선단에서의 가스의 체류를 억제하는 것이 가능하게 된다.
(2) 성막 처리
상술한 기판 처리 장치를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 막을 형성하는 처리(이하, 성막 처리라고도 함)의 시퀀스 예에 대하여, 도 9를 사용하여 설명한다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서의 성막 처리에서는,
처리실(201) 내의 기판으로서의 웨이퍼(200)에 대하여 제1 노즐로서의 노즐(249a)을 통하여 원료 가스를 공급하는 스텝과,
처리실(201) 내의 웨이퍼(200)에 대하여 석영을 포함하고 노즐(249a)과는 상이한 제2 노즐로서의 노즐(249b)을 통하여 O 함유 가스를 공급하는 스텝과,
처리실(201) 내의 웨이퍼(200)에 대하여 노즐(249b)을 통하여 H 함유 가스를 공급하는 스텝을 비동시에 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼(200) 상에 막을 형성한다.
또한, 도 9에 도시하는 성막 시퀀스에서는, 일례로서,
처리실(201) 내의 웨이퍼(200)에 대하여 노즐(249a)을 통하여 HCDS 가스를 공급하는 스텝 1과,
처리실(201) 내의 웨이퍼(200)에 대하여 노즐(249a)을 통하여 C3H6 가스를 공급하는 스텝 2와,
처리실(201) 내의 웨이퍼(200)에 대하여 노즐(249b)을 통하여 O2 가스를 공급하는 스텝 3과,
처리실(201) 내의 웨이퍼(200)에 대하여 노즐(249b)을 통하여 NH3 가스를 공급하는 스텝 4를 비동시에, 즉 동기시키지 않고 행하는 사이클을 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에, Si, O, C 및 N을 포함하는 막, 즉 실리콘산 탄질화막(SiOCN막)을 형성한다. 또한, SiOCN막을, C를 포함하는 실리콘산 질화막(SiON막), C가 첨가(도프)된 SiON막, C 함유 SiON막이라고 칭할 수도 있다.
본 명세서에서는, 도 9에 도시하는 성막 시퀀스를, 편의상, 이하와 같이 나타내는 경우도 있다. 또한, 이하의 변형예나 다른 실시 형태의 설명에 있어서도, 동일한 표기를 사용하기로 한다.
(HCDS→C3H6→O2→NH3)×n ⇒ SiOCN
본 명세서에 있어서 「웨이퍼」라고 하는 말을 사용한 경우에는, 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우, 즉 표면에 형성된 소정의 층이나 막 등을 포함시켜 웨이퍼라고 칭하는 경우가 있다. 또한, 본 명세서에 있어서 「웨이퍼의 표면」이라고 하는 말을 사용한 경우에는, 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면」을 의미하는 경우가 있다.
따라서, 본 명세서에 있어서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」 는 것을 의미하는 경우가 있다. 또한, 본 명세서에 있어서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」 는 것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등의 위, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한, 본 명세서에 있어서 「기판」이라고 하는 말을 사용한 경우에도, 「웨이퍼」라고 하는 말을 사용한 경우와 마찬가지이며, 그 경우, 상기 설명에 있어서, 「웨이퍼」를 「기판」으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)된다. 그 후, 도 1에 도시하는 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내로 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은, O링(220)을 통하여 반응관(203)의 하단부를 시일한 상태로 된다.
(압력 조정 및 온도 조정)
처리실(201) 내, 즉 웨이퍼(200)가 존재하는 공간이 원하는 압력(진공도)으로 되도록, 진공 펌프(246)에 의해 진공 배기(감압 배기)된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)에서 측정되며, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다. 진공 펌프(246)는, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 사이는 항상 작동시킨 상태를 유지한다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전 상태가 피드백 제어된다. 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 사이는 계속해서 행해진다. 또한, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 사이는 계속해서 행해진다.
(SiOCN막의 형성 처리)
그 후, 다음 4개의 스텝, 즉 스텝 1 내지 4를 순차적으로 실행한다.
[스텝 1]
이 스텝에서는, 처리실(201) 내의 웨이퍼(200)에 대하여, HCDS 가스를 공급한다.
밸브(243a)를 개방하고, 가스 공급관(232a) 내에 HCDS 가스를 흘린다. HCDS 가스는, MFC(241a)에 의해 유량 조정되고, 노즐(249a)을 통하여 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 HCDS 가스가 공급되게 된다. 이때 동시에 밸브(243c)를 개방하고, 가스 공급관(232c) 내로 N2 가스를 흘린다. N2 가스는, MFC(241c)에 의해 유량 조정되고, HCDS 가스와 함께 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다.
또한, 노즐(249b) 내로의 HCDS 가스의 침입을 방지하기 위해, 밸브(243d)를 개방하고, 가스 공급관(232d) 내로 N2 가스를 흘린다. N2 가스는, 가스 공급관(232b), 노즐(249b)을 통하여 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다.
MFC(241a)에서 제어하는 HCDS 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241c, 241d)에서 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 2666Pa, 바람직하게는 67 내지 1333Pa의 범위 내의 압력으로 한다. HCDS 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 되는 온도로 설정한다.
웨이퍼(200)의 온도가 250℃ 미만으로 되면, 웨이퍼(200) 상에 HCDS가 화학 흡착하기 어려워져, 실용적인 성막 속도가 얻어지지 않게 되는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 함으로써, 이것을 해소하는 것이 가능하게 된다. 웨이퍼(200)의 온도를 300℃ 이상, 나아가 350℃ 이상으로 함으로써, 웨이퍼(200) 상에 HCDS를 보다 충분히 흡착시키는 것이 가능하게 되고, 보다 충분한 성막 속도가 얻어지게 된다.
웨이퍼(200)의 온도가 700℃를 초과하면, CVD 반응이 지나치게 강해짐(과잉의 기상 반응이 발생함)으로써, 막 두께 균일성이 악화되기 쉬워지고, 그 제어가 곤란하게 되어 버린다. 웨이퍼(200)의 온도를 700℃ 이하로 함으로써, 적정한 기상 반응을 발생시킬 수 있음으로써, 막 두께 균일성의 악화를 억제할 수 있고, 그 제어가 가능하게 된다. 특히, 웨이퍼(200)의 온도를 650℃ 이하, 나아가 600℃ 이하로 함으로써, 기상 반응보다 표면 반응이 우세해지고, 막 두께 균일성을 확보하기 쉬워지고, 그 제어가 용이하게 된다.
따라서, 웨이퍼(200)의 온도는 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 하는 것이 좋다.
상술한 조건하에서 웨이퍼(200)에 대하여 HCDS 가스를 공급함으로써, 웨이퍼(200)의 최표면 상에, 제1층으로서, 예를 들어 1 원자층 미만으로부터 수 원자층의 두께의 Cl을 포함하는 Si 함유층이 형성된다. Cl을 포함하는 Si 함유층은, Cl을 포함하는 Si층이어도 되고, HCDS의 흡착층이어도 되며, 그 양쪽을 포함하고 있어도 된다.
Cl을 포함하는 Si층이란, Si를 포함하고 Cl을 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐 생기는 Cl을 포함하는 Si 박막도 포함하는 총칭이다. Si를 포함하고 Cl을 포함하는 연속적인 층을, Cl을 포함하는 Si 박막이라고 하는 경우도 있다. Cl을 포함하는 Si층을 구성하는 Si는, Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
HCDS의 흡착층은, HCDS 분자를 포함하는 연속적인 흡착층 외에, 불연속인 흡착층도 포함한다. 즉, HCDS의 흡착층은, HCDS 분자를 포함하는 1 분자층 혹은 1 분자층 미만의 두께의 흡착층을 포함한다. HCDS의 흡착층을 구성하는 HCDS 분자는, Si와 Cl의 결합이 일부 끊어진 것도 포함한다. 즉, HCDS의 흡착층은, HCDS의 물리 흡착층이어도 되고, HCDS의 화학 흡착층이어도 되며, 그 양쪽을 포함하고 있어도 된다.
여기서, 1 원자층 미만의 두께의 층이란 불연속으로 형성되는 원자층을 의미하고, 1 원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 1 분자층 미만의 두께의 층이란 불연속으로 형성되는 분자층을 의미하고, 1 분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다. Cl을 포함하는 Si 함유층은, Cl을 포함하는 Si층과 HCDS의 흡착층의 양쪽을 포함할 수 있다. 단, 상술한 바와 같이, Cl을 포함하는 Si 함유층에 대해서는 「1 원자층」, 「수 원자층」 등의 표현을 사용하여 나타내기로 한다.
HCDS 가스가 자기 분해(열분해)되는 조건하, 즉 HCDS 가스의 열분해 반응이 발생하는 조건하에서는, 웨이퍼(200) 상에 Si가 퇴적됨으로써 Cl을 포함하는 Si층이 형성된다. HCDS 가스가 자기 분해(열분해)되지 않는 조건하, 즉 HCDS 가스의 열분해 반응이 발생하지 않는 조건하에서는, 웨이퍼(200) 상에 HCDS가 흡착됨으로써 HCDS의 흡착층이 형성된다. 웨이퍼(200) 상에 HCDS의 흡착층을 형성하는 것보다, 웨이퍼(200) 상에 Cl을 포함하는 Si층을 형성하는 쪽이, 성막 레이트를 높게 할 수 있다는 점에서는 바람직하다.
제1층의 두께가 수 원자층을 초과하면, 후술하는 스텝 3, 4에서의 개질의 작용이 제1층의 전체에 도달하지 않게 된다. 또한, 제1층의 두께의 최솟값은 1 원자층 미만이다. 따라서, 제1층의 두께는 1 원자층 미만으로부터 수 원자층으로 하는 것이 바람직하다. 제1층의 두께를 1 원자층 이하, 즉 1 원자층 또는 1 원자층 미만으로 함으로써, 후술하는 스텝 3, 4에서의 개질 반응의 작용을 상대적으로 높일 수 있고, 스텝 3, 4에서의 개질 반응에 요하는 시간을 단축할 수 있다. 스텝 1에서의 제1층의 형성에 요하는 시간을 단축할 수도 있다. 결과로서, 1 사이클당 처리 시간을 단축할 수 있고, 토탈에서의 처리 시간을 단축하는 것도 가능하게 된다. 즉, 성막 레이트를 높게 하는 것도 가능하게 된다. 또한, 제1층의 두께를 1 원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능하게 된다.
제1층이 형성된 후, 밸브(243a)를 폐쇄하고, HCDS 가스의 공급을 정지한다. 이때, APC 밸브(244)는 개방된 채로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 혹은 제1층의 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배출한다. 이때, 밸브(243c, 243d)는 개방된 채로 하여, N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하며, 이에 의해, 처리실(201) 내에 잔류하는 가스를 처리실(201) 내로부터 배출하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배출하지 않아도 되며, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 2에 있어서 악영향이 발생하는 일은 없다. 처리실(201) 내로 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없으며, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양의 N2 가스를 공급함으로써, 스텝 2에 있어서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하고, 스루풋을 향상시킬 수 있다. N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
원료 가스로서는, HCDS 가스 외에, 예를 들어 OCTS 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 테트라클로로실란 즉 실리콘 테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스 등의 무기계 할로실란 원료 가스를 사용할 수 있다.
또한, 원료 가스로서는, BTCSE 가스, BTCSM 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스 등의 유기계 할로실란 원료 가스를 사용할 수 있다.
또한, 원료 가스로서는, 예를 들어 모노실란(SiH4, 약칭: MS) 가스, 디실란(Si2H6, 약칭: DS) 가스, 트리실란(Si3H8, 약칭: TS) 가스 등의 할로겐기 비함유의 무기계 실란 원료 가스를 사용할 수 있다.
또한, 원료 가스로서는, 예를 들어 디메틸실란(SiC2H8, 약칭: DMS) 가스, 트리메틸실란(SiC3H10, 약칭; TMS) 가스, 디에틸실란(SiC4H12, 약칭: DES) 가스, 1,4-디실란부탄(Si2C2H10, 약칭: DSB) 가스 등의 할로겐기 비함유의 유기계 실란 원료 가스를 사용할 수도 있다.
또한, 원료 가스로서는, 예를 들어 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 테트라키스디메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: BDEAS) 가스, 비스tert-부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스 등의 할로겐기 비함유의 아미노계(아민계) 실란 원료 가스를 사용할 수도 있다.
또한, 원료 가스로서, C 소스로서도 작용하는 유기계 할로실란 원료 가스나 유기계 실란 원료 가스를 사용하는 경우, 제1층 중에 C를 포함시키는 것이 가능하게 된다. 결과로서, 웨이퍼(200) 상에 최종적으로 형성되는 SiOCN막 내의 C 농도를, 원료 가스로서 무기계 할로실란 원료 가스나 무기계 실란 원료 가스를 사용하는 경우보다 높이는 것이 가능하게 된다. 또한, 원료 가스로서, C 소스 및 N 소스로서도 작용하는 아미노계 실란 원료 가스를 사용하는 경우, 제1층 중에 C 및 N을 각각 포함시키는 것이 가능하게 된다. 결과로서, 웨이퍼(200) 상에 최종적으로 형성되는 SiOCN막 내의 C 농도 및 N 농도를, 원료 가스로서 무기계 실란 원료 가스를 사용하는 경우보다 각각 높이는 것이 가능하게 된다.
불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용할 수 있다.
[스텝 2]
스텝 1이 종료된 후, 처리실(201) 내의 웨이퍼(200), 즉 웨이퍼(200) 상에 형성된 제1층에 대하여, 열로 활성화시킨 C3H6 가스를 공급한다.
이 스텝에서는, 밸브(243a, 243c, 243d)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243c, 243d)의 개폐 제어와 마찬가지의 수순으로 행한다. C3H6 가스는, MFC(241a)에 의해 유량 조정되고, 노즐(249a)을 통하여 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 C3H6 가스가 공급되게 된다.
MFC(241a)에서 제어하는 C3H6 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 5000Pa, 바람직하게는 1 내지 4000Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 C3H6 가스의 분압은, 예를 들어 0.01 내지 4950Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이러한 비교적 높은 압력대로 함으로써, C3H6 가스를 비플라즈마에서 열적으로 활성화시키는 것이 가능하게 된다. C3H6 가스는 열로 활성화시켜 공급한 쪽이, 비교적 소프트한 반응을 발생시킬 수 있고, 후술하는 C 함유층의 형성이 용이하게 된다. C3H6 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다.
그 밖의 처리 조건은, 예를 들어 스텝 1과 마찬가지의 처리 조건으로 한다.
이때, 처리실(201) 내로 흘리는 가스는 열적으로 활성화시킨 C3H6 가스이며, 처리실(201) 내로는 HCDS 가스는 흘리지 않았다. 따라서, C3H6 가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급된다. 그 결과, 스텝 1에서 웨이퍼(200) 상에 형성된 제1층, 즉 Cl을 포함하는 Si 함유층의 표면 상에, 탄소 함유층(C 함유층)이 형성된다. C 함유층은, C층이어도 되고, C3H6의 흡착층이어도 되며, 그 양쪽을 포함하고 있어도 된다. C 함유층은, 1 분자층 미만 또는 1 원자층 미만의 두께의 층, 즉 불연속인 층으로 된다. 예를 들어, C 함유층으로서 C3H6의 흡착층이 형성되는 경우, C3H6을 구성하는 분자의 화학 흡착층이 불포화 상태에서 형성되게 된다. 이에 의해, 웨이퍼(200)의 최표면 상에, Si, Cl 및 C를 포함하는 제2층이 형성되게 된다. 제2층은, Cl을 포함하는 Si 함유층과, C 함유층을 포함하는 층으로 된다. 또한, 조건에 따라서는, 제1층의 일부와 C3H6 가스가 반응하여 제1층이 개질(탄화)되고, 제2층에 SiC층이 포함되는 경우도 있다.
C 함유층은 불연속인 층으로 할 필요가 있다. C 함유층을 연속적인 층으로 한 경우, Cl을 포함하는 Si 함유층의 표면이 C 함유층에 의해 전체적으로 덮이게 된다. 이 경우, 제2층의 표면에 Si가 존재하지 않게 되고, 그 결과, 후술하는 스텝 3에서의 제2층의 산화 반응이나, 후술하는 스텝 4에서의 제3층의 질화 반응이 곤란해지는 경우가 있다. 상술한 바와 같은 처리 조건하에서는, O나 N은 Si와는 결합하지만, C와는 결합하기 어렵기 때문이다. 후술하는 스텝 3이나 스텝 4에서 원하는 반응을 발생시키기 위해서는, C 함유층, 예를 들어 C3H6의 화학 흡착층 등의 Cl을 포함하는 Si 함유층 상으로의 흡착 상태를 불포화 상태로 하고, 제2층의 표면에 Si가 노출된 상태로 할 필요가 있다. 또한, 스텝 2에서의 처리 조건을 상술한 처리 조건 범위 내의 처리 조건으로 함으로써, C 함유층을 불연속인 층으로 하는 것이 가능하게 된다.
제2층이 형성된 후, 밸브(243a)를 폐쇄하고, C3H6 가스의 공급을 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 C 함유층의 형성에 기여한 후의 C3H6 가스나 반응 부생성물을 처리실(201) 내로부터 배출한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배출하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
C 함유 가스로서는, C3H6 가스 외에, 아세틸렌(C2H2) 가스나 에틸렌(C2H4) 가스 등의 탄화수소계 가스를 사용할 수 있다.
불활성 가스로서는, N2 가스 외에, 예를 들어 스텝 1에서 예시한 각종 희가스를 사용할 수 있다.
[스텝 3]
스텝 2가 종료된 후, 처리실(201) 내의 웨이퍼(200), 즉 웨이퍼(200) 상에 형성된 제2층에 대하여, 열로 활성화시킨 O2 가스를 공급한다.
이 스텝에서는, 밸브(243b 내지 243d)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243c, 243d)의 개폐 제어와 마찬가지의 수순으로 행한다. O2 가스는, MFC(241b)에 의해 유량 조정되고, 노즐(249b)을 통하여 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 O2 가스가 공급되게 된다.
MFC(241b)에서 제어하는 O2 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 4000Pa, 바람직하게는 1 내지 3000Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 O2 가스의 분압은, 예를 들어 0.01 내지 3960Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이러한 비교적 높은 압력대로 함으로써, O2 가스를 비플라즈마에서 열적으로 활성화시키는 것이 가능하게 된다. O2 가스는 열로 활성화시켜 공급한 쪽이, 비교적 소프트한 반응을 발생시킬 수 있고, 후술하는 산화를 소프트하게 행할 수 있다. O2 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 조건은, 예를 들어 스텝 1과 마찬가지의 처리 조건으로 한다.
이때, 처리실(201) 내로 흘리는 가스는 열적으로 활성화시킨 O2 가스이며, 처리실(201) 내로는 HCDS 가스도 C3H6 가스도 흘리지 않았다. 따라서, O2 가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급된다. 웨이퍼(200)에 대하여 공급된 O2 가스는, 스텝 2에서 웨이퍼(200) 상에 형성된 Si, Cl 및 C를 포함하는 제2층(Cl을 포함하는 Si 함유층과, C 함유층을 포함하는 층)의 적어도 일부와 반응한다. 이에 의해 제2층은, 비플라즈마에서 열적으로 산화되고, Si, O 및 C를 포함하는 제3층, 즉 실리콘산 탄화층(SiOC층)으로 변화된다(개질된다). 또한, 제3층을 형성할 때, 제2층에 포함되어 있었던 Cl 등의 불순물은, O2 가스에 의한 개질 반응의 과정에 있어서, 적어도 Cl을 포함하는 가스 상태 물질을 구성하고, 처리실(201) 내로부터 배출된다. 즉, 제2층 중의 Cl 등의 불순물은, 제2층 중에서 뽑아내어지거나, 탈리되거나 함으로써, 제2층으로부터 분리된다. 이에 의해, 제3층은 제2층에 비하여 Cl 등의 불순물이 적은 층으로 된다.
이때, 제2층의 산화 반응은 포화시키지 않도록 한다. 예를 들어, 스텝 1에서 수 원자층의 두께의 Cl을 포함하는 Si 함유층을 형성하고, 스텝 2에서 1 원자층 미만의 두께의 C 함유층을 형성한 경우에는, 그 표면층(표면의 1 원자층)의 적어도 일부를 산화시킨다. 이 경우, 제2층의 전체를 산화시키지 않도록, 제2층의 산화 반응이 불포화로 되는 조건하에서 산화를 행한다. 또한, 조건에 따라서는 제2층의 표면층으로부터 아래의 수층을 산화시킬 수도 있지만, 그 표면층만을 산화시키는 쪽이, 웨이퍼(200) 상에 최종적으로 형성되는 SiOCN막의 조성비의 제어성을 향상시킬 수 있어 바람직하다. 또한, 예를 들어 스텝 1에서 1 원자층 또는 1 원자층 미만의 두께의 Cl을 포함하는 Si 함유층을 형성하고, 스텝 2에서 1 원자층 미만의 두께의 C 함유층을 형성한 경우에도, 마찬가지로 그 표면층의 일부를 산화시킨다. 이 경우에도, 제2층의 전체를 산화시키지 않도록, 제2층의 산화 반응이 불포화로 되는 조건하에서 산화를 행한다. 또한, 스텝 3에서의 처리 조건을 상술한 처리 조건 범위 내의 처리 조건으로 함으로써, 제2층의 산화 반응을 불포화로 하는 것이 가능하게 된다.
또한, 이때, 특히 O2 가스의 희석률을 높이거나(농도를 저하시키거나), O2 가스의 공급 시간을 단축하거나, O2 가스의 분압을 낮추거나 하도록, 상술한 처리 조건을 조정해도 된다. 예를 들어, 스텝 2, 4보다, 반응 가스의 희석률을 높이거나, 반응 가스의 공급 시간을 단축하거나, 반응 가스의 분압을 낮추거나 해도 된다. 이에 의해, 스텝 3에서의 산화력을 적절하게 저하시킬 수 있어, 제2층의 산화 반응을 불포화로 하는 것이 보다 용이하게 된다.
스텝 3에서의 산화력을 저하시킴으로써, 산화 과정에 있어서, 제2층 중으로부터의 C의 탈리를 억제하는 것이 가능하게 된다. Si-C 결합보다 Si-O 결합의 쪽이 결합 에너지가 크기 때문에, Si-O 결합을 형성하면 Si-C 결합이 끊어져 버리는 경향이 있다. 이에 반해, 스텝 3에서의 산화력을 적절하게 저하시킴으로써, 제2층 중에 Si-O 결합을 형성할 때, Si-C 결합이 끊어져 버리는 것을 억제할 수 있고, Si와의 결합이 끊어진 C가 제2층으로부터 탈리하는 것을 억제하는 것이 가능하게 된다.
또한, 스텝 3에서의 산화력을 저하시킴으로써, 산화 처리 후의 제2층, 즉 제3층의 최표면에 Si가 노출된 상태를 유지할 수 있다. 제3층의 최표면에 Si가 노출된 상태를 유지함으로써, 후술하는 스텝 4에 있어서, 제3층의 최표면을 질화시키는 것이 용이하게 된다. 제3층의 최표면의 전체에 걸쳐 Si-O 결합이나 Si-C 결합이 형성되고, 그 최표면에 Si가 노출되지 않은 상태에서는, 후술하는 스텝 4의 조건하에 있어서는 Si-N 결합이 형성되기 어려운 경향이 있다. 그러나, 제3층의 최표면에 Si가 노출된 상태를 유지함으로써, 즉 제3층의 최표면에, 후술하는 스텝 4의 조건하에서 N과 결합할 수 있는 Si를 존재시켜 둠으로써, Si-N 결합을 형성하는 것이 용이하게 된다.
제3층이 형성된 후, 밸브(243b)를 폐쇄하고, O2 가스의 공급을 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 제3층의 형성에 기여한 후의 O2 가스나 반응 부생성물을 처리실(201) 내로부터 배출한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배출하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
산화 가스로서는, O2 가스 외에, 수증기(H2O 가스), 일산화질소(NO)가스, 아산화질소(N2O) 가스, 이산화질소(NO2) 가스, 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스, 오존(O3) 가스 등의 O 함유 가스를 사용할 수 있다.
불활성 가스로서는, N2 가스 외에, 예를 들어 스텝 1에서 예시한 각종 희가스를 사용할 수 있다.
[스텝 4]
스텝 3이 종료된 후, 처리실(201) 내의 웨이퍼(200), 즉 웨이퍼(200) 상에 형성된 제3층에 대하여, 열로 활성화시킨 NH3 가스를 공급한다.
이 스텝에서는, 밸브(243b 내지 243d)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243c, 243d)의 개폐 제어와 마찬가지의 수순으로 행한다. NH3 가스는, MFC(241b)에 의해 유량 조정되고, 노즐(249b)을 통하여 처리실(201) 내로 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 NH3 가스가 공급되게 된다.
MFC(241b)에서 제어하는 NH3 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 4000Pa, 바람직하게는 1 내지 3000Pa의 범위 내의 압력으로 한다. 처리실(201) 내에 있어서의 NH3 가스의 분압은, 예를 들어 0.01 내지 3960Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이러한 비교적 높은 압력대로 함으로써, NH3 가스를 비플라즈마에서 열적으로 활성화시키는 것이 가능하게 된다. NH3 가스는 열로 활성화시켜 공급한 쪽이, 비교적 소프트한 반응을 발생시킬 수 있고, 후술하는 질화를 소프트하게 행할 수 있다. NH3 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 조건은, 예를 들어 스텝 1과 마찬가지의 처리 조건으로 한다.
이때, 처리실(201) 내로 흘리는 가스는 열적으로 활성화시킨 NH3 가스이며, 처리실(201) 내로는 HCDS 가스도 C3H6 가스도 O2 가스도 흘리지 않았다. 따라서, NH3 가스는 기상 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급된다. 웨이퍼(200)에 대하여 공급된 NH3 가스는, 스텝 3에서 웨이퍼(200) 상에 형성된 제3층(SiOC층)의 적어도 일부와 반응한다. 이에 의해 제3층은, 비플라즈마에서 열적으로 질화되고, Si, O, C 및 N을 포함하는 제4층, 즉 실리콘산 탄질화층(SiOCN층)으로 변화된다(개질된다). 또한, 제4층을 형성할 때, 제3층에 포함되어 있었던 Cl 등의 불순물은, NH3 가스에 의한 개질 반응의 과정에 있어서, 적어도 Cl을 포함하는 가스 상태 물질을 구성하고, 처리실(201) 내로부터 배출된다. 즉, 제3층 중의 Cl 등의 불순물은, 제3층 중에서 뽑아내어지거나, 탈리되거나 함으로써, 제3층으로부터 분리된다. 이에 의해, 제4층은 제3층에 비하여 Cl 등의 불순물이 적은 층으로 된다.
또한, 웨이퍼(200)에 대하여 활성화시킨 NH3 가스를 공급함으로써, 제3층이 질화되는 과정에 있어서, 제3층의 최표면이 개질된다. 질화 과정에서 표면 개질 처리가 실시된 후의 제3층의 최표면, 즉 제4층의 최표면은, 다음 사이클에서 행하는 스텝 1에 있어서, HCDS가 흡착되기 쉽고, Si가 퇴적되기 쉬운 표면 상태로 된다. 즉, 스텝 4에서 사용하는 NH3 가스는, HCDS나 Si의 제4층의 최표면(웨이퍼(200)의 최표면)에의 흡착이나 퇴적을 촉진시키는 흡착 및 퇴적 촉진 가스로서도 작용하게 된다.
이때, 제3층의 질화 반응은 포화시키지 않도록 한다. 예를 들어 스텝 1 내지 3에서 수 원자층의 두께의 제3층을 형성한 경우에는, 그 표면층(표면의 1 원자층)의 적어도 일부를 질화시킨다. 이 경우, 제3층의 전체를 질화시키지 않도록, 제3층의 질화 반응이 불포화로 되는 조건하에서 질화를 행한다. 또한, 조건에 따라서는 제3층의 표면층으로부터 아래의 수층을 질화시킬 수도 있지만, 그 표면층만을 질화시키는 쪽이, 웨이퍼(200) 상에 최종적으로 형성되는 SiOCN막의 조성비의 제어성을 향상시킬 수 있어 바람직하다. 또한, 예를 들어 스텝 1 내지 3에서 1 원자층 또는 1 원자층 미만의 두께의 제3층을 형성한 경우에도, 마찬가지로 그 표면층의 일부를 질화시킨다. 이 경우에도, 제3층의 전체를 질화시키지 않도록, 제3층의 질화 반응이 불포화로 되는 조건하에서 질화를 행한다. 또한, 스텝 4에서의 처리 조건을 상술한 처리 조건 범위 내의 처리 조건으로 함으로써, 제3층의 질화 반응을 불포화로 하는 것이 가능하게 된다.
제4층이 형성된 후, 밸브(243b)를 폐쇄하고, NH3 가스의 공급을 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 제4층의 형성에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배출한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배출하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
질화 가스로서는, NH3 가스 외에, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스 등의 질화수소계 가스나, 이들 화합물을 포함하는 가스 등을 사용할 수 있다.
불활성 가스로서는, N2 가스 외에, 예를 들어 스텝 1에서 예시한 각종 희가스를 사용할 수 있다.
(소정 횟수 실시)
상술한 4개의 스텝을 비동시에, 즉 동기시키지 않고 행하는 사이클을 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에, 소정 조성 및 소정 막 두께의 SiOCN막을 형성할 수 있다. 또한, 상술한 사이클은 복수회 반복하는 것이 바람직하다. 즉, 상술한 사이클을 1회 행할 때 형성되는 제4층(SiOCN층)의 두께를 원하는 막 두께보다 작게 하고, 제4층(SiOCN층)을 적층함으로써 형성되는 SiOCN막의 막 두께가 원하는 막 두께로 될 때까지, 상술한 사이클을 복수회 반복하는 것이 바람직하다.
(퍼지 및 대기압 복귀)
SiOCN막의 형성이 완료된 후, 밸브(243c, 243d)를 개방하고, 가스 공급관(232c, 232d)의 각각으로부터 N2 가스를 처리실(201) 내로 공급하고, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용한다. 이에 의해, 처리실(201) 내가 퍼지되고, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되고, 반응관(203)의 하단부가 개구된다. 그리고, 처리가 끝난 웨이퍼(200)가, 보트(217)에 지지된 상태에서, 반응관(203)의 하단부로부터 반응관(203)의 외부로 반출된다(보트 언로드). 처리가 끝난 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
(a) 노즐 선단부(271)를 U자 형상으로 함으로써, 가스 공급 노즐 내에 도입된 처리 가스가 가열되는 시간이나 거리를 길게 하는 것이 가능하게 되고, 웨이퍼에 대하여 활성화된 처리 가스를 균일하게 공급하는 것이 가능하게 된다.
(b) 노즐(249)의 선단에 가스 체류 억제 구멍(280)을 형성함으로써, 노즐 선단 내에서의 처리 가스의 체류를 억제하는 것이 가능하게 된다.
(c) 노즐(249)의 선단에 가스 체류 억제 구멍(280)을 형성함으로써, 노즐 선단을 향함에 따라 처리 가스의 가스 유속이 저하되는 것을 억제하고, 가스 공급 구멍으로부터 웨이퍼에 대하여 균일하게 처리 가스를 공급하는 것이 가능하게 된다.
(d) 노즐(249)의 선단에 가스 체류 억제 구멍(280)을 형성함으로써, 노즐(249) 내에 발생한 파티클 등의 부생성물이 웨이퍼(200) 상에 부착되는 것을 억제하는 것이 가능하게 된다.
(e) 가스 체류 억제 구멍(280)의 구멍 직경을 가스 공급 구멍(250)보다 크게 형성함으로써, 노즐 선단에서의 가스의 체류를 효율적으로 억제하는 것이 가능하게 된다.
(f) 가스 체류 억제 구멍(280)의 구멍 직경을, 상류측 파이프(271-1)의 최상류에 공급된 가스가 흐르는 유속과 하류측 파이프(271-2)의 최하류에 공급된 가스가 흐르는 유속이 동등해지는 크기로 함으로써, 공급된 처리 가스가 가스 체류 억제 구멍(280)으로부터의 배기 율속으로 되는 것을 억제하면서, 가스 공급 구멍(250)으로부터 웨이퍼에 대하여 균일하게 가스를 공급하는 것이 가능하게 된다.
(변형예)
다음으로 도 10a 내지 도 10c를 사용하여 본 발명의 변형예를 설명한다.
도 10a에 도시하는 (a), (b), (c)와 같이, 노즐(249)의 상류측 파이프(271-1)와 하류측 파이프(271-2)의 양쪽에 가스 공급 구멍(250)을 형성할 뿐만 아니라, 도 10b에 도시하는 (d)와 같이, 하류측 파이프(271-2)에만 가스 공급 구멍(250)을 형성함으로써, 가열되어 활성화된 처리 가스를 웨이퍼(200) 상에 공급하기 쉬워지고, 웨이퍼의 면간 균일성을 향상시킬 수 있다고 하는 효과를 얻을 수 있다.
또한, 도 10b에 도시하는 (e)와 같이, 상류측 파이프(271-1)에만 가스 공급 구멍(250)을 형성함으로써, 노즐 내에 파티클 등의 부생성물이 발생해도 하류측 파이프(271-2)측에 가스 공급 구멍(250)이 형성되어 있지 않으므로, 파티클 등의 부생성물을 배기 방향으로 배기하기 쉽게 할 수 있다고 하는 효과를 얻을 수 있다.
또한, 도 10b에 도시하는 (f) 및 도 10b에 도시하는 (g)와 같이 상류측 파이프(271-1)와 하류측 파이프(271-2)에 형성하는 가스 공급 구멍(250)의 배치 높이를 상이하게 형성함으로써, 웨이퍼(200)에 균일하게 활성화된 처리 가스를 공급하는 것이 가능하게 된다고 하는 효과를 얻을 수 있다. 즉, (f)와 같이 가스 공급 구멍(250)을 형성함으로써, 상류측 파이프(271-1)의 높이 방향 중앙부와, 하류측 파이프(271-2)의 높이 방향 중앙부에 있어서, 활성화된 처리 가스를 공급하기 쉬워지기 때문에, 웨이퍼 배열 영역의 중앙 근방에 처리 가스를 많이 공급하는 것이 가능하게 되어, 웨이퍼 배열 영역의 중앙 부근에 있어서 처리 가스의 반응이 나쁜 경우에 유효하게 사용할 수 있다. 또한, (g)와 같이 가스 공급 구멍(250)을 형성함으로써, 웨이퍼 배열 영역의 최상위 또는 최하위 근방에 있어서, 활성화된 처리 가스를 공급하기 쉬워지기 때문에, 웨이퍼 배열 영역의 최상위 또는 최하위 부근에 있어서 처리 가스의 반응이 나쁜 경우에 유효하게 사용할 수 있다.
또한, 도 10c에 도시하는 (h) 내지 (k)와 같이 하류측 파이프(271-2)의 길이를 연신함으로써, 가능한 한 수직 방향 하방에 가스 체류 억제 구멍(280)을 형성하는 것이 가능하게 되고, 웨이퍼(200) 상에 파티클 등의 부생성물이 부착되는 것을 억제하는 것이 가능하게 된다. 이 경우, 가스 체류 억제 구멍(280)은 웨이퍼(200)가 지지되어 있는 영역보다도 하방에 설치되도록 형성되는 것이 바람직하다. 즉, 하류측 파이프(271-2)의 길이를 연신시켜 가스 체류 억제 구멍(280)을 웨이퍼(200)가 지지되어 있는 영역보다도 하방의 단열 영역에 위치하도록 형성함으로써, 가스 체류 억제 구멍(280)을 배기구 근방에 배치하는 것이 가능하게 되고, 파티클 등의 부생성물을 포함한 가스를 배기구 근방으로 배출하는 것이 가능하게 된다. 따라서, 가령 노즐(249) 내에 있어서 퇴적막 박리 등에 의한 파티클 등의 부생성물이 발생한 경우라도, 가스 체류 억제 구멍(280)으로부터 배출된 가스는, 즉시 배기관(231)의 배기구로부터 배기되게 되고, 웨이퍼(200) 상에 파티클 등의 부생성물이 부착되는 것을 억제하는 것이 가능하게 된다.
또한, 도 10c에 도시하는 (h)와 같이, 단열 영역에도 가스 공급 구멍(250)이 배치되도록 형성하면, 에칭 가스를 공급하여 처리실 내를 클리닝할 때 단열 영역에 직접 에칭 가스를 공급하는 것이 가능하게 되므로, 단열 영역에 퇴적된 퇴적막을 효율적으로 제거하는 것이 가능하게 된다.
<제2 실시 형태>
다음으로 도 11, 도 12를 사용하여 본 발명의 제2 실시 형태에 대하여 설명한다. 제2 실시 형태에서의 기판 처리 장치가 제1 실시 형태와 상이한 점은, 도 11에 도시하는 바와 같이, 원료 가스를 공급하는 노즐(249a)을 U자형 노즐로서 배치하고, 도 1 및 도 2에 기재한 노즐(249b) 대신에, 반응 가스나 불활성 가스를 공급하는 노즐을 직관형 노즐(251)로서 배치한 점이며, 그 밖의 구성에 대해서는 제1 실시 형태와 마찬가지이다.
또한, 도 12에 도시하는 바와 같이, 가열에 의해 활성화시킬 필요가 있는 원료 가스를 공급하는 노즐(249a)만을 U자형 노즐로서 배치함으로써, 메인터넌스를 용이하게 하거나, 장치 비용을 저감시키는 것이 가능하게 된다.
제2 실시 형태와 같이 구성함으로써, 이하에 나타내는 효과를 얻을 수 있다.
(g) 복잡한 구조인 U자형 노즐의 개수를 적게 함으로써, 장치의 메인터넌스를 용이하게 할 수 있다.
(h) 복잡한 구조인 U자형 노즐의 개수를 적게 함으로써, 장치 비용을 저감시킬 수 있다.
<다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였다. 그러나, 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양한 변경이 가능하며, 그 효과도 얻을 수 있다.
예를 들어, 본 발명의 제1 실시 형태에 있어서, 노즐(249a와 249b)은 동일한 노즐을 사용한 경우에 대하여 설명하였지만, 이에 한정되지 않고, 노즐(249a)에 도 10a에 도시하는 (c)를 사용하고, 노즐(249b)에 도 10b에 도시하는 (e)를 사용하는 등, 가스 공급 구멍의 설치 위치를 노즐(249a와 249b)에서 상이하게 형성해도 된다.
또한, 예를 들어 본 실시 형태에서의 성막 시퀀스는, 이하에 나타낸 바와 같이, 공급하는 가스의 종류나 타이밍을 변경함으로써 형성하는 막을 변경할 수 있다.
(C3H6→HCDS→C3H6→O2→NH3)×n ⇒ SiOCN
(HCDS→C3H6→NH3→O2)×n ⇒ SiOCN
(HCDS→NH3→C3H6→O2)×n ⇒ SiOCN
(HCDS→NH3→O2→C3H6)×n ⇒ SiOCN
(HCDS→O2→NH3→C3H6)×n ⇒ SiOCN
(HCDS→O2→C3H6→NH3)×n ⇒ SiOCN
(HCDS→TEA→O2)×n ⇒ SiOCN, SiOC
(HCDS→O2→TEA)×n ⇒ SiOCN, SiOC
(TEA→HCDS→O2)×n ⇒ SiOCN, SiOC
(HCDS→H2→O2)×n ⇒ SiO
(HCDS→O2→H2)×n ⇒ SiO
(H2→HCDS→O2)×n ⇒ SiO
(HCDS→NH3→O2)×n ⇒ SiON
(HCDS→O2→NH3)×n ⇒ SiON
(NH3→HCDS→O2)×n ⇒ SiON
(HCDS→H2+O2→NH3)×n ⇒ SiON
이들 변경예와 같이, 반응 가스를 임의로 선택하여 사용하거나, 원료 가스와 반응 가스의 공급 순서를 임의로 변경하거나 함으로써, 형성되는 막의 조성, 조성비, 막질 등을 변경시키는 것이 가능하게 된다. 또한, 복수종의 반응 가스는, 임의로 조합하여 사용하는 것도 가능하다. 예를 들어, NH3 가스나 TEA 가스나 HCDS 가스에 C3H6 가스를 첨가(혼합)하여 사용하는 것도 가능하다. 이에 의해, 형성되는 막의 조성, 조성비, 막질 등을 변화시키는 것이 가능하게 된다.
도 9에 도시하는 성막 시퀀스나 각 변형예에 의해 형성한 실리콘계 절연막을, 사이드 월 스페이서로서 사용함으로써, 누설 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다. 또한, 상술한 실리콘계 절연막을, 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다. 또한, 도 9에 도시하는 성막 시퀀스나 각 변형예에 따르면, 플라즈마를 사용하지 않고, 이상적 양론비의 실리콘계 절연막을 형성하는 것도 가능하게 된다. 플라즈마를 사용하지 않고 실리콘계 절연막을 형성할 수 있다는 점에서, 예를 들어 DPT의 SADP막 등, 플라즈마 손상을 우려하는 공정에의 적응도 가능하게 된다.
또한, 상술한 변형예에 있어서, 웨이퍼(200)에 대하여 열로 활성화시킨 TEA 가스를 공급하는 스텝에서는, MFC(241b)에서 제어하는 TEA 가스의 공급 유량을, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력을, 예를 들어 1 내지 5000Pa, 바람직하게는 1 내지 4000Pa의 범위 내의 압력으로 한다. 또한, 처리실(201) 내에서의 TEA 가스의 분압은, 예를 들어 0.01 내지 4950Pa의 범위 내의 압력으로 한다. TEA 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 조건은, 예를 들어 도 9에 도시하는 성막 시퀀스의 스텝 4와 마찬가지의 처리 조건으로 한다. N, C 및 H를 포함하는 가스로서는, TEA 가스 외에, 예를 들어 디에틸아민((C2H5)2NH, 약칭: DEA) 가스, 모노에틸아민(C2H5NH2, 약칭: MEA) 가스 등의에틸아민계 가스나, 트리메틸아민((CH3)3N, 약칭: TMA) 가스, 디메틸아민((CH3)2NH, 약칭: DMA) 가스, 모노메틸아민(CH3NH2, 약칭: MMA) 가스 등의 메틸아민계 가스 등을 사용할 수 있다.
그 밖의 스텝에서의 처리 수순, 처리 조건은, 예를 들어 도 9에 도시하는 성막 시퀀스에서의 각 스텝의 처리 수순, 처리 조건과 마찬가지로 할 수 있다.
또한, 상술한 실시 형태에서 설명한 성막 시퀀스는, 웨이퍼(200) 상에, 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 니오븀(Nb), 알루미늄(Al), 몰리브덴(Mo), 텅스텐(W) 등의 금속 원소를 포함하는 산화막, 즉 금속계 산화막을 형성하는 경우에 있어서도 적절하게 적용 가능하다. 즉, 상술한 성막 시퀀스는, 웨이퍼(200) 상에, TiOCN막, TiOC막, TiON막, TiO막, ZrOCN막, ZrOC막, ZrON막, ZrO막, HfOCN막, HfOC막, HfON막, HfO막, TaOCN막, TaOC막, TaON막, TaO막, NbOCN막, NbOC막, NbON막, NbO막, AlOCN막, AlOC막, AlON막, AlO막, MoOCN막, MoOC막, MoON막, MoO막, WOCN막, WOC막, WON막, WO막을 형성하는 경우에도 적절하게 적용하는 것이 가능하게 된다.
금속계 산화막을 형성하는 경우, 원료 가스로서, 예를 들어 티타늄 테트라클로라이드(TiCl4) 가스, 티타늄 테트라플루오라이드(TiF4) 가스, 지르코늄 테트라클로라이드(ZrCl4) 가스, 지르코늄 테트라플루오라이드(ZrF4) 가스, 하프늄 테트라클로라이드(HfCl4) 가스, 하프늄 테트라플루오라이드(HfF4) 가스, 탄탈륨 펜타클로라이드(TaCl5) 가스, 탄탈륨 펜타플루오라이드(TaF5) 가스, 니오븀 펜타클로라이드(NbCl5) 가스, 니오븀 펜타플루오라이드(NbF5) 가스, 알루미늄 트리클로라이드(AlCl3) 가스, 알루미늄 트리플루오라이드(AlF3) 가스, 몰리브덴 펜타클로라이드(MoCl5) 가스, 몰리브덴 펜타플루오라이드(MoF5) 가스, 텅스텐 헥사클로라이드(WCl6) 가스, 텅스텐 헥사플루오라이드(WF6) 가스 등의 금속 원소 및 할로겐 원소를 포함하는 무기 금속 원료 가스를 사용할 수 있다. 또한, 원료 가스로서, 예를 들어 트리메틸알루미늄(Al(CH3)3, 약칭: TMA) 가스 등의 금속 원소 및 탄소를 포함하는 유기 금속 원료 가스를 사용할 수도 있다. 반응 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다.
예를 들어, 이하에 나타내는 성막 시퀀스에 의해, 웨이퍼(200) 상에, TiON막이나 TiO막을 형성할 수 있다.
(TiCl4→NH3→O3)×n ⇒ TiON, TiO
(TiCl4→O3→NH3)×n ⇒ TiON, TiO
(NH3→TiCl4→O3)×n ⇒ TiON, TiO
즉, 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 막을 형성하는 경우에 적절하게 적용할 수 있다. 이들 성막을 행하는 경우에 있어서도, 상술한 실시 형태와 마찬가지의 처리 조건에서 성막을 행할 수 있고, 상술한 실시 형태와 마찬가지의 효과가 얻어진다.
기판 처리에 사용되는 레시피(처리 수순이나 처리 조건 등이 기재된 프로그램)는, 처리 내용(기판 상에 형성하는 막의 막종, 조성비, 막질, 막 두께, 처리 수순, 처리 조건 등)에 따라 개별적으로 준비하고, 전기 통신 회선이나 외부 기억 장치(123)를 통하여 기억 장치(121c) 내에 저장해 두는 것이 바람직하다. 그리고, 각종 처리를 개시할 때, CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 레시피 중에서, 처리 내용에 따라, 적정한 레시피를 적절히 선택하는 것이 바람직하다. 이에 의해, 1대의 기판 처리 장치로 여러가지 막종, 조성비, 막질, 막 두께의 막을 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 부담(처리 수순이나 처리 조건 등의 입력 부담 등)을 저감할 수 있고, 조작 미스를 피하면서, 기판 처리를 신속하게 개시할 수 있게 된다.
상술한 프로세스 레시피는, 새롭게 작성하는 경우에 한정되지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 변경함으로써 준비해도 된다. 레시피를 변경하는 경우에는, 변경 후의 레시피를, 전기 통신 회선이나 당해 레시피를 기록한 기록 매체를 통하여 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 직접 변경하도록 해도 된다.
상술한 실시 형태에서는, 핫 월형의 처리로를 갖는 기판 처리 장치를 사용하여 막을 형성하는 예에 대하여 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 콜드 월형의 처리로를 갖는 기판 처리 장치를 사용하여 막을 형성하는 경우에도 적절하게 적용할 수 있다.
이들 기판 처리 장치를 사용하는 경우에 있어서도, 상술한 실시 형태나 변형예와 마찬가지의 시퀀스, 처리 조건에서 성막을 행할 수 있고, 상술한 실시 형태나 변형예와 마찬가지의 효과가 얻어진다.
또한, 상술한 실시 형태나 변형예는 적절히 조합하여 사용할 수 있다. 또한, 이때의 처리 조건은, 예를 들어 상술한 실시 형태나 변형예와 마찬가지의 처리 조건으로 할 수 있다.
121: 컨트롤러(제어부)
200: 웨이퍼(기판)
201: 처리실
202: 처리로
203: 반응관
249a, 249b: 노즐(제1 노즐, 제2 노즐)

Claims (18)

  1. 가스 공급 노즐로서,
    가스를 도입하기 위한 상류측 파이프;
    상기 상류측 파이프의 하류단에 접속되는, 상기 가스의 유동 방향을 반전시키기 위한 폴딩부;
    상기 폴딩부의 하류단에 접속되는 하류측 파이프; 및
    상기 상류측 파이프 및 상기 하류측 파이프의 측면에 배치되고, 상기 폴딩부의 측면에 배치되지 않은 복수의 가스 공급 구멍 - 상기 복수의 가스 공급 구멍은 상기 폴딩부를 교차하는 방향을 향함 -;
    을 포함하고,
    상기 상류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 높이와 상기 하류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 높이가 동일하게 구성되는, 가스 공급 노즐.
  2. 제1항에 있어서,
    상기 상류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 각각의 높이와 상기 하류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 각각의 높이가 동일하게 구성되는, 가스 공급 노즐.
  3. 제1항에 있어서,
    상기 상류측 파이프와 상기 하류측 파이프 중 어느 하나의 측면에는 파이프 전체에 소정의 피치로 가스 공급 구멍이 배치되고, 다른 하나의 측면에는 일부에만 가스 공급 구멍이 배치되는, 가스 공급 노즐.
  4. 제1항에 있어서,
    상기 상류측 파이프의 측면에 배치된 복수의 가스 공급 구멍 중 가장 아래쪽에 배치되는 가스 공급 구멍의 높이와, 상기 하류측 파이프의 측면에 배치된 복수의 가스 공급 구멍 중 가장 아래쪽에 배치되는 가스 공급 구멍의 높이가 상이한, 가스 공급 노즐.
  5. 제1항에 있어서,
    상기 상류측 파이프와 상기 하류측 파이프 중 어느 하나에 배치되는 가스 공급 구멍의 높이는, 다른 하나에 배치되는 가스 공급 구멍 중 어느 하나의 가스 공급 구멍의 높이와 동일하게 구성되는, 가스 공급 노즐.
  6. 제1항에 있어서,
    상기 상류측 파이프의 측면에 배치된 모든 가스 공급 구멍의 높이와 상기 하류측 파이프의 측면에 배치된 모든 가스 공급 구멍의 높이가 동일하게 구성되는, 가스 공급 노즐.
  7. 제1항에 있어서,
    상기 하류측 파이프는 상기 상류측 파이프보다 하측으로 연장하도록 구성되는, 가스 공급 노즐.
  8. 제1항에 있어서,
    상기 하류측 파이프의 하류 하단에 배치되어 상기 하류측 파이프의 길이 방향으로 가스를 유동시키는 가스 체류 억제 구멍을 더 포함하는 가스 공급 노즐.
  9. 제8항에 있어서,
    상기 가스 체류 억제 구멍의 총면적은 상기 복수의 가스 공급 구멍 중 어느 하나의 개구 면적보다 크고, 상기 하류측 파이프의 단면적보다 작은, 가스 공급 노즐.
  10. 기판 처리 장치로서,
    수직으로 설치된 원통 형상을 갖는 히터와;
    상기 히터 내부에 설치되는 반응관;
    상기 반응관의 통 중공부에 형성되는 처리실 - 상기 처리실은 상기 처리실의 하부에 배기 파이프의 배기구를 가짐 -;
    복수의 기판을 수평 자세에서 수직 방향으로 정렬되도록 지지하는 보트(boat);
    상기 복수의 기판의 각각의 표면 상에 막을 형성하는 것을 각각 제어하도록 구성된 제어기; 및
    제1 가스 공급 시스템
    을 포함하고,
    상기 제1 가스 공급 시스템은:
    가스를 도입하기 위한 제1 상류측 파이프, 상기 제1 상류측 파이프의 하류단에 접속된 제1 폴딩부 및 상기 제1 폴딩부의 하류단에 접속된 제1 하류측 파이프를 포함하는 제1 노즐 선단부; 및
    상기 제1 상류측 파이프 및 상기 제1 하류측 파이프의 측면에 배치되는 복수의 제1 가스 공급 구멍의 제1 세트 - 상기 복수의 제1 가스 공급 구멍의 제1 세트는, 상기 반응관의 중심으로 향하고, 폴딩 방향과 교차하는 방향을 향함 -;
    을 포함하고, 상기 제1 상류측 파이프의 측면에 배치된 복수의 제1 가스 공급 구멍의 제1 세트의 높이와, 상기 제1 하류측 파이프의 측면에 배치된 복수의 제1 가스 공급 구멍의 제1 세트의 높이가 동일하도록 구성되는, 기판 처리 장치.
  11. 제10항에 있어서,
    상기 처리실보다 낮은 위치에 배치되는 상기 제1 하류측 파이프의 하류측 하류 하단을 더 포함하는, 기판 처리 장치.
  12. 제10항에 있어서,
    제2 가스 공급 시스템을 더 포함하고, 상기 제2 가스 공급 시스템은:
    상기 제2 가스를 도입하기 위한 제2 상류측 파이프, 상기 제2 상류측 파이프의 하류단에 접속된 제2 폴딩부 및 상기 제2 폴딩부의 하류단에 접속된 제2 하류측 파이프를 포함하는 제2 노즐 선단부; 및
    상기 제2 상류측 파이프 및 상기 제2 하류측 파이프의 측면에 배치되는 복수의 제2 가스 공급 구멍의 제2 세트 - 상기 복수의 제2 가스 공급 구멍의 제2 세트는 상기 반응관의 중심으로 향하고, 폴딩 방향과 교차하는 방향을 향함 -
    를 포함하는, 기판 처리 장치.
  13. 제10항에 있어서,
    제3 가스 공급 시스템을 더 포함하고, 상기 제3 가스 공급 시스템은:
    상기 제3 가스를 도입하기 위한 제3 가스 공급관과, 상기 제3 가스 공급관의 하류단에 접속되어 상기 반응관 내에 수직으로 세워지는 제3 연신 파이프를 포함하는 제3 노즐 기단부; 및
    상기 제3 연신 파이프의 하류단에 접속된 직관형(straight-pipe-type) 노즐 - 상기 직관형 노즐은 상기 직관형 노즐의 측면에 배치된 복수의 제3 가스 공급 구멍을 가짐 -
    을 포함하는, 기판 처리 장치.
  14. 제12항에 있어서,
    상기 제어기는
    (1) 상기 제1 가스 공급 시스템을 통해 원료 가스를 공급하는 단계; 및
    (2) 상기 제2 가스 공급 시스템을 통해 반응 가스를 공급하는 단계
    를 수행함으로써, 상기 복수의 기판의 각각의 표면 상에 형성된 막의 조성 또는 품질을 제어하도록 구성되는, 기판 처리 장치.
  15. 제13항에 있어서,
    상기 제어기는
    (1) 상기 제1 가스 공급 시스템을 통해 원료 가스를 공급하는 단계; 및
    (2) 상기 제3 가스 공급 시스템을 통해 반응 가스를 공급하는 단계
    를 수행함으로써, 상기 복수의 기판의 각각의 표면 상에 형성된 막의 조성 또는 품질을 제어하도록 구성되는, 기판 처리 장치.
  16. 제10항에 있어서,
    상기 제1 하류측 파이프의 하류 하단에 배치되어 상기 제1 하류측 파이프의 길이 방향으로 상기 가스를 유동시키는 제1 가스 체류 억제 구멍을 더 포함하는, 기판 처리 장치.
  17. 기판을 처리하는 처리실과, 상기 기판을 처리하는 처리 가스가 도입되는 상류측 파이프와, 상기 상류측 파이프의 하류단에 접속되는, 상기 처리 가스의 유동 방향을 반전시키기 위한 폴딩부와, 상기 폴딩부의 하류단에 접속되는 하류측 파이프와, 상기 상류측 파이프 및 상기 하류측 파이프의 측면에 배치되고 상기 폴딩부의 측면에 배치되지 않고, 상기 폴딩부를 교차하는 방향을 향하는 복수의 가스 공급 구멍을 구비한 제1 가스 공급 노즐을 통하여 상기 처리 가스를 공급하는 가스 공급부를 갖는 기판 처리 장치를 준비하는 공정과,
    상기 가스 공급부로부터 상기 처리 가스를 공급하여 상기 기판을 처리하는 공정을 포함하고,
    상기 상류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 높이와 상기 하류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 높이가 동일하게 구성되는, 반도체 장치의 제조 방법.
  18. 기판을 처리하는 처리실, 상기 기판을 처리하는 처리 가스가 도입되는 상류측 파이프, 상기 상류측 파이프의 하류단에 접속되는, 상기 처리 가스의 유동 방향을 반전시키기 위한 폴딩부, 상기 폴딩부의 하류단에 접속되는 하류측 파이프, 및 상기 상류측 파이프와 상기 하류측 파이프의 측면에 배치되고 상기 폴딩부의 측면에 배치되지 않고, 상기 폴딩부를 교차하는 방향을 향하는 복수의 가스 공급 구멍을 구비한 제1 가스 공급 노즐을 통하여 상기 처리 가스를 공급하는 가스 공급부를 갖는 기판 처리 장치의 처리실 내에 상기 기판을 수용하는 수순 - 상기 상류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 높이와 상기 하류측 파이프의 측면에 배치된 복수의 가스 공급 구멍의 높이가 동일하게 구성됨 -; 및
    상기 가스 공급부로부터 상기 처리 가스를 공급하여 상기 기판을 처리하는 수순
    을, 컴퓨터에 의해 상기 기판 처리 장치에 실행시키는, 기록매체에 저장된 프로그램.
KR1020190020770A 2015-07-17 2019-02-21 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 KR102276878B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210036253A KR102459905B1 (ko) 2015-07-17 2021-03-19 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2015-142902 2015-07-17
JP2015142902 2015-07-17
JP2016108773A JP6578243B2 (ja) 2015-07-17 2016-05-31 ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム
JPJP-P-2016-108773 2016-05-31
KR1020180089562A KR102239085B1 (ko) 2015-07-17 2018-07-31 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180089562A Division KR102239085B1 (ko) 2015-07-17 2018-07-31 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210036253A Division KR102459905B1 (ko) 2015-07-17 2021-03-19 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Publications (2)

Publication Number Publication Date
KR20190022590A true KR20190022590A (ko) 2019-03-06
KR102276878B1 KR102276878B1 (ko) 2021-07-14

Family

ID=57946546

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020160082790A KR20170009725A (ko) 2015-07-17 2016-06-30 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020180089562A KR102239085B1 (ko) 2015-07-17 2018-07-31 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020190020770A KR102276878B1 (ko) 2015-07-17 2019-02-21 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020210036253A KR102459905B1 (ko) 2015-07-17 2021-03-19 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020210136959A KR102657085B1 (ko) 2015-07-17 2021-10-14 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020240047480A KR20240054233A (ko) 2015-07-17 2024-04-08 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020160082790A KR20170009725A (ko) 2015-07-17 2016-06-30 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020180089562A KR102239085B1 (ko) 2015-07-17 2018-07-31 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020210036253A KR102459905B1 (ko) 2015-07-17 2021-03-19 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020210136959A KR102657085B1 (ko) 2015-07-17 2021-10-14 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020240047480A KR20240054233A (ko) 2015-07-17 2024-04-08 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Country Status (4)

Country Link
US (2) US10081868B2 (ko)
JP (4) JP6578243B2 (ko)
KR (6) KR20170009725A (ko)
CN (3) CN111261503B (ko)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102412614B1 (ko) * 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6546872B2 (ja) * 2016-04-07 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102203745B1 (ko) * 2017-02-23 2021-01-18 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 반응관
JP6780557B2 (ja) * 2017-03-21 2020-11-04 東京エレクトロン株式会社 ガス供給部材及びガス処理装置
JP6759137B2 (ja) * 2017-03-24 2020-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6952595B2 (ja) * 2017-12-20 2021-10-20 東京エレクトロン株式会社 縦型熱処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102559965B1 (ko) 2018-03-23 2023-07-25 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7012585B2 (ja) * 2018-04-12 2022-01-28 東京エレクトロン株式会社 熱処理装置及び熱処理方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP1624352S (ko) * 2018-07-19 2019-02-12
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6920262B2 (ja) 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ko) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7037526B2 (ja) * 2019-09-10 2022-03-16 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112575312B (zh) * 2019-09-30 2023-08-29 长鑫存储技术有限公司 薄膜制备设备以及薄膜制备方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7365973B2 (ja) * 2020-06-19 2023-10-20 東京エレクトロン株式会社 ガスノズル、基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP1685215S (ja) * 2020-08-18 2024-05-10 基板処理装置用ガス導入管
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2020205438A (ja) * 2020-09-02 2020-12-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JP7308241B2 (ja) * 2021-08-20 2023-07-13 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024049208A (ja) 2022-09-28 2024-04-09 株式会社Kokusai Electric ガス供給体、処理装置及び半導体装置の製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080027199A (ko) * 2006-09-22 2008-03-26 도쿄 엘렉트론 가부시키가이샤 반도체 처리용 산화 장치 및 방법
JP2009295729A (ja) 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
KR20140142160A (ko) * 2013-06-03 2014-12-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318556A (ja) * 1993-05-06 1994-11-15 Nippon Steel Corp 熱処理炉
JP2781741B2 (ja) * 1995-05-24 1998-07-30 九州日本電気株式会社 半導体基板の熱処理装置
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
JP2001140077A (ja) * 1999-11-11 2001-05-22 Sony Corp 半導体製造装置
TW546399B (en) * 2000-02-15 2003-08-11 Macronix Int Co Ltd Vertical low-pressure chemical vapor deposition furnace
JP2001267309A (ja) * 2000-03-16 2001-09-28 Hitachi Kokusai Electric Inc 半導体製造装置
JP3980840B2 (ja) 2001-04-25 2007-09-26 東京エレクトロン株式会社 気相成長装置および気相成長膜形成方法
JP2004006551A (ja) 2002-06-03 2004-01-08 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP4238812B2 (ja) * 2003-11-20 2009-03-18 東京エレクトロン株式会社 被処理体の酸化装置
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4983159B2 (ja) * 2006-09-01 2012-07-25 東京エレクトロン株式会社 被処理体の酸化方法、酸化装置及び記憶媒体
JP5211464B2 (ja) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 被処理体の酸化装置
JP2011199214A (ja) * 2010-03-24 2011-10-06 Hitachi Kokusai Electric Inc 熱処理装置、半導体装置の製造方法、及び、基板の製造方法
JP2012069723A (ja) 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
JP2013066829A (ja) * 2011-09-21 2013-04-18 Tokyo Electron Ltd 処理液吐出ノズル及び基板処理装置
JP5887962B2 (ja) 2012-01-31 2016-03-16 東京エレクトロン株式会社 成膜装置
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法
JP5958231B2 (ja) 2012-09-24 2016-07-27 東京エレクトロン株式会社 縦型熱処理装置
KR101396601B1 (ko) * 2013-02-26 2014-05-20 주식회사 테라세미콘 배치식 기판처리 장치
KR101555238B1 (ko) * 2013-04-29 2015-09-24 국제엘렉트릭코리아 주식회사 퍼니스형 반도체 설비
WO2020008682A1 (ja) * 2018-07-05 2020-01-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080027199A (ko) * 2006-09-22 2008-03-26 도쿄 엘렉트론 가부시키가이샤 반도체 처리용 산화 장치 및 방법
JP2009295729A (ja) 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
KR20140142160A (ko) * 2013-06-03 2014-12-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Also Published As

Publication number Publication date
KR20210035795A (ko) 2021-04-01
JP6578243B2 (ja) 2019-09-18
JP6902576B2 (ja) 2021-07-14
KR20210127688A (ko) 2021-10-22
JP2021097245A (ja) 2021-06-24
CN109943827A (zh) 2019-06-28
JP7150923B2 (ja) 2022-10-11
JP2022179556A (ja) 2022-12-02
US20170051408A1 (en) 2017-02-23
CN110534461A (zh) 2019-12-03
KR20180090767A (ko) 2018-08-13
KR20170009725A (ko) 2017-01-25
CN109943827B (zh) 2022-03-22
CN110534461B (zh) 2023-12-26
JP7382471B2 (ja) 2023-11-16
JP2019204962A (ja) 2019-11-28
KR102657085B1 (ko) 2024-04-15
KR20240054233A (ko) 2024-04-25
KR102239085B1 (ko) 2021-04-13
KR102276878B1 (ko) 2021-07-14
KR102459905B1 (ko) 2022-10-27
US20180363137A1 (en) 2018-12-20
US10081868B2 (en) 2018-09-25
CN111261503A (zh) 2020-06-09
CN111261503B (zh) 2024-04-16
JP2017028256A (ja) 2017-02-02

Similar Documents

Publication Publication Date Title
KR102459905B1 (ko) 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR101749413B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101827620B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
KR101786301B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN106356289B (zh) 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
KR101862923B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2018055700A1 (ja) 基板処理装置、半導体装置の製造方法および電極固定ユニット
KR20170007160A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6441494B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6654232B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant