KR20210122693A - 금속 표면에 대해 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착 - Google Patents

금속 표면에 대해 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착 Download PDF

Info

Publication number
KR20210122693A
KR20210122693A KR1020210039758A KR20210039758A KR20210122693A KR 20210122693 A KR20210122693 A KR 20210122693A KR 1020210039758 A KR1020210039758 A KR 1020210039758A KR 20210039758 A KR20210039758 A KR 20210039758A KR 20210122693 A KR20210122693 A KR 20210122693A
Authority
KR
South Korea
Prior art keywords
metal
catalyst
silicon oxide
dielectric surface
substrate
Prior art date
Application number
KR1020210039758A
Other languages
English (en)
Inventor
안드레아 일리베리
쥬세뻬 알레씨오 베르니
샤오렌 뎅
다니엘레 치아뻬
에바 토이스
마르코 투오미넨
마이클 기븐스
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210122693A publication Critical patent/KR20210122693A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J21/00Catalysts comprising the elements, oxides, or hydroxides of magnesium, boron, aluminium, carbon, silicon, titanium, zirconium, or hafnium
    • B01J21/02Boron or aluminium; Oxides or hydroxides thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/02Impregnation, coating or precipitation
    • B01J37/0215Coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1212Zeolites, glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1291Process of deposition of the inorganic material by heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/73Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals characterised by the process
    • C23C22/77Controlling or regulating of the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/82After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

금속 표면에 대해 유전체 표면 상에 실리콘 산화물 막을 선택적으로 증착하기 위한 방법을 제공한다. 기판의 금속 표면은, 예컨대 폴리이미드 층 또는 티올 SAM으로 유전체 표면에 대해 선택적으로 패시베이션될 수 있다. 실란올을 포함한 실리콘 전구체 및 금속 촉매와 유전체 표면을 접촉시킴으로써, 패시베이션된 금속 표면에 대해 유전체 표면 상에 실리콘 산화물을 선택적으로 증착한다.

Description

금속 표면에 대해 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착{SELECTIVE DEPOSITION OF SILICON OXIDE ON DIELECTRIC SURFACES RELATIVE TO METAL SURFACES}
관련 출원의 상호 참조
본 출원은 2020년 3월 30일에 출원된 미국 가출원 제63/002136호에 대한 우선권을 주장하며, 이는 본원에 참조로 포함된다.
기술분야
본 개시는, 일반적으로 기판의 제2 금속 또는 금속성 표면에 대해 제1 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하는 것에 관한 것이다.
반도체 제조에 있어서 소자의 치수가 축소함에 따라 새롭고 혁신적인 공정 접근법을 요구한다. 통상적으로, 반도체 공정에서의 패터닝은 블랭킷층이 증착되고, 포토리소그래피 기술에 의해 마스크 처리되고, 마스크의 개구를 통해 에칭되는 서브트랙티브 공정을 포함한다. 리프트 오프 기술이나 다마신 단계를 사용하는 패터닝과 같이, 마스킹 단계가 관심 재료의 증착에 선행하는 적층 패터닝이 또한 공지되어 있다. 대부분의 경우에, 고 비용의 다단계 리소그래피 기술이 패터닝에 적용된다.
패터닝은, 반도체 제조사 사이에서 관심이 증가되는 선택적 증착에 의해 단순화될 수 있다. 선택적 증착은 다양한 분야에서 매우 유익할 것이다. 중요하게는, 이는 리소그래피 단계를 감소시켜 공정 비용을 줄일 수 있다. 선택적 증착은, 또한 좁은 구조의 스케일링을 강화할 수 있다.
실리콘 디옥사이드를 포함하는 박막은, 예를 들어 유전체 재료로서 마이크로전자 소자의 많은 상이한 응용예에 사용된다. 실리콘 디옥사이드는 실리콘 마이크로전자 소자에서 가장 일반적으로 사용되는 유전체 재료 중 하나이다.
일부 양태에서, 기판의 금속 표면에 대해 기판의 유전체 표면 상에 실리콘 산화물 막을 선택적으로 증착하기 위한 방법을 제공한다. 일부 구현예에서, 기판의 금속 표면에 대해 기판의 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하는 방법은, 순서대로, 금속 표면에 대해 유전체 표면을 선택적으로 패시베이션 하는 단계; 금속 표면 상에 폴리머 패시베이션 층을 선택적으로 형성하는 단계; 금속 촉매와 유전체 표면을 접촉시키는 단계; 및 실란올을 포함한 실리콘 반응물과 유전체 표면을 접촉시키는 단계를 포함한다.
일부 구현예에서, 금속 표면은 Al, Cu, Co, Ni, W, Nb, Fe, 및 Mo 중 하나 이상을 포함한다. 일부 구현예에서, 유전체 표면은 실리콘 산화물을 포함한다. 일부 구현예에서, 유전체 표면을 선택적으로 패시베이션하는 단계는, 유전체 표면을 실릴화제에 접촉시키는 단계를 포함한다. 일부 구현예에서, 실릴화제는 알킬아미노실란을 포함한다. 일부 구현예에서, 알킬아미노실란은 조성식 (RI)3Si(NRIIRIII)을 갖고, 여기서 RI는 선형 또는 분지형 C1-C5 알킬기이거나 선형 또는 분지형 C1-C4 알킬기이고, RII는 선형 또는 분지형 C1-C5 알킬기, 선형 또는 분지형 C1-C4 알킬기, 또는 수소이고, RIII은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이다. 일부 구현예에서, 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함한다.
일부 구현예에서, 기판의 금속 표면에 대해 기판의 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하는 방법은, 선택적으로 금속 표면 상에 폴리머 패시베이션 층을 형성하는 단계 이후 및 유전체 표면을 금속 촉매와 접촉하는 단계 이전에 플라즈마로 유전체 표면을 처리하는 단계를 추가로 포함한다. 일부 구현예에서, 플라즈마는 H2 플라즈마를 포함한다.
일부 구현예에서, 금속 촉매는, 트리메틸알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함한다. 일부 구현예에서, 금속 촉매는, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, 또는 Ga를 포함한 금속 화합물을 포함한다. 일부 구현예에서, 금속 촉매는 금속 할라이드, 유기금속 화합물 또는 금속유기 화합물이다. 일부 구현예에서, 실리콘 반응물은 트리스(터트-부톡시)실란올(TBS), 트리스(이소프로폭시)실란올(TIS), 또는 트리스(터트-펜톡시)실란올(TPS)을 포함한다. 일부 구현예에서, 폴리머 패시베이션 층은 자기 조립 단층(SAM)을 포함한다. 일부 구현예에서, SAM은 기판을 티올 폴리머에 노출시킴으로써 형성된다. 일부 구현예에서, 폴리머 패시베이션 층은 고분자 층을 포함한다.
일부 구현예에서, 패시베이션된 금속 표면에 대해 촉매화된 유전체 표면 상에 실리콘 산화물을 증착하는 선택도는 약 50%를 초과한다.
일부 구현예에서, 기판의 금속 표면에 대해 기판의 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하는 방법이 제공되며, 상기 방법은, 금속 표면 상에 폴리머 패시베이션 층을 선택적으로 형성하는 단계, 및 기판을 금속 촉매 및 실란올과 교대 순차적으로 접촉시키는 단계를 포함한 하나 이상의 실리콘 산화물 증착 사이클을 수행하는 단계를 포함한다. 일부 구현예에서, 기판의 금속 표면에 대해 기판의 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하는 방법은, 선택적으로 금속 표면 상에 폴리머 패시베이션 층을 형성하는 단계 이전에 실릴화제로 유전체 표면을 접촉시키는 단계를 추가로 포함한다.
일부 구현예에서, 실리콘 산화물 증착 사이클은 2회 이상 연속으로 반복된다. 일부 구현예에서, 기판은, 적어도 하나의 실리콘 산화물 증착 사이클에서 실란올과 2회 이상 접촉한다.
일부 구현예에서, 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함한다. 일부 구현예에서, 금속 촉매는, 트리메틸알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함한다. 일부 구현예에서, 금속 촉매는, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, 또는 Ga를 포함한 금속 화합물이다. 일부 구현예에서, 금속 촉매는 금속 할라이드, 유기금속 화합물 또는 금속유기 화합물이다. 일부 구현예에서, 실란은 트리스(터트-펜톡시)실란올(TPS)이다. 일부 구현예에서, 폴리머 패시베이션 층은 고분자 층을 포함한다. 일부 구현예에서, 패시베이션 층은 티올 SAM이다.
도 1은, 금속 표면에 대해 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하기 위한 증착 공정을 나타낸 흐름도이다.
도 2a는, 제1 유전체 표면 및 인접한 제2 금속 표면을 갖는 기판 일부의 개략적인 단면도이다.
도 2b는, 유전체 표면을 선택적으로 처리한 후에 도 2a의 기판의 개략적인 단면도이다.
도 2c는 금속 표면을 선택적으로 패시베이션한 후 도 2b의 기판의 개략적인 단면도이다.
도 2d는, 유전체 표면 상에 알루미늄 촉매를 선택적으로 증착한 후에 도 2c의 기판의 개략적인 단면도이다.
도 2e는, 유전체 표면 상에 실리콘 산화물을 선택적으로 증착한 후에 도 2d의 기판의 개략적인 단면도이다.
도 2f는 금속 표면으로부터 폴리머 패시베이션 재료를 제거한 후에 도 2e의 기판의 개략적인 단면도이다.
본원에 기술된 방법에 의해 형성된, 실리콘 디옥사이드 막, 예를 들어 SiO2 막과 같은 실리콘 산화물 막은 다양한 맥락에서 사용될 수 있다. 실리콘 디옥사이드 막, 예를 들어 SiO2 막과 같은 실리콘 산화물 막은, 예를 들어 CMOS, DRAM, 플래시 및 자기 헤드 응용을 포함한 매우 다양한 반도체 소자에 사용된다. 실리콘 디옥사이드, 예를 들어 SiO2와 같은 실리콘 산화물 또한, CMOS용 게이트 유전체로서, 전기 절연층으로서, 그리고 갭 충진 층으로서 흔히 사용된다. 실리콘 디옥사이드 막, 예를 들어 SiO2 막과 같은 실리콘 산화물 막은, 본원에 기술된 방법에 의해 기판 상의 금속 표면에 대해 유전체 표면 상에 선택적으로 증착될 수 있다. 일부 구현예에서, 실리콘 산화물은 촉매와 조합된 패시베이션제의 사용을 통해, 제2 금속(또는 금속성) 표면에 대해 산화물 표면과 같은 제1 유전체 표면 위에 선택적으로 증착된다. 일부 구현예에서, 유전체 표면은 또한, 실리콘 산화물의 선택적 증착 전에 관능화된다.
구현예에서, 유전체 표면은, 예를 들어 실릴화에 의해 표면을 선택적으로 처리함으로써, 금속 표면에 대해 선택적으로 패시베이션되거나 관능화될 수 있다. 일부 구현예에서, 유전체 표면은 실릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)에 노출되어 관능화된다. 일부 구현예에서, 관능화 단계는 생략될 수 있다. 일부 구현예에서, 패시베이션은 후술하는 바와 같이, 금속 표면의 후속하는 선택적 패시베이션을 보조할 수 있다. 일부 구현예에서, 패시베이션된 유전체 표면은, 이하에서 더욱 상세히 설명되는 바와 같이, 촉매 화학 흡착을 용이하게 하기 위해 원하는 표면 종결부를 제공하기 위해, 예컨대 플라즈마를 이용해 처리될 수 있다.
금속 표면은, 예컨대 금속 표면 상에 폴리머 층을 선택적으로 형성함으로써 패시베이션된다. 일부 구현예에서, 유전체 표면의 실릴화는, 금속 표면 상에 폴리머 패시베이션 층을 형성하는 선택도를 보조한다.
이어서, 금속 표면에 대해 유전체 표면 상에 촉매를 선택적으로 증착한다. 일부 구현예에서, 촉매는 유전체 표면 상에 선택적으로 화학 흡착된다. 촉매는, 예를 들어 이하에서 더욱 상세히 설명되는 바와 같은 금속 촉매일 수 있다.
그런 다음, 실란올과 같은 실리콘 반응물과 기판을 접촉시킴으로써, 패시베이션된 금속 표면에 대해 유전체 표면 상에 실리콘 산화물 층을 선택적으로 증착한다. 촉매는, 패시베이션된 금속 표면에 대해 기판의 유전체 표면 상에 선택적으로 촉매 실리콘 산화물 성장을 유도하는 실란올과의 반응을 위해, 표면을 준비한다. 실리콘 산화물 층은, 원하는 두께의 실리콘 산화물 막이 선택적으로 증착될 때까지, 기판이 촉매 및 실란올과 교대로 접촉하는 주기적 기상 증착 공정에 의해 증착될 수 있다. 실리콘 산화물 증착 후에, 금속 표면 상의 폴리머 패시베이션 층은, 예컨대 에칭에 의해 제거될 수 있다.
일부 구현예에서, 기판 상의 유전체 표면, 예컨대 산화물 표면은 실릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)로 실릴화되고, 폴리머는 동일한 기판의 금속 표면 상에 선택적으로 증착되고, 알루미늄 촉매와 같은 금속 촉매는 동일한 기판의 유전체 표면 상에 선택적으로 증착되고, 실리콘 산화물은 패시베이션된 금속 표면에 대해 기판의 유전체 표면 상에 선택적으로 증착된다. 예를 들어, 실리콘 산화물 층은 인접한 금속 표면에 대해 유전체 표면, 예컨대 금속 산화물 표면, 실리콘 산화물 표면 또는 저 유전율(k) 표면 상에, 예를 들어 유전체 표면을 실릴화제로 실릴화하는 단계, 티올 SAM 또는 폴리이미드 층을 사용하여 금속 표면을 패시베이션하는 단계, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAI), 트리스(터트-부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 촉매로서 사용하는 단계, 및 트리스(삼차-펜톡시) 실란올과 같은 실란올을 실리콘 반응물로서 사용하는 단계를 포함한다. 일부 구현예에서, 실릴화된 유전체 표면은 표면을 촉매와 접촉시키기 전에 플라즈마 처리된다.
일부 구현예에서, 기판의 금속 또는 금속성 표면은 금속 원소 또는 금속 합금을 포함하고, 기판의 상이한 제2 표면은 산화물과 같은 유전체 재료를 포함한다. 일부 구현예에서, 유전체 표면 및 금속 표면은 서로 인접하거나 적어도 부분적으로 서로 인접한다. 가능한 유전체 재료 예시는 실리콘 산화물계 재료를 포함하고, 이는 성장되거나 증착된 실리콘 디옥사이드, 도핑되고/도핑되거나 다공성인 산화물, 실리콘 상의 자연 산화물 등을 포함한다. 일부 구현예에서, 유전체 재료는 금속 산화물을 포함한다. 일부 구현예에서, 유전체 재료는 저 유전율 재료를 포함한다.
유전체 층의 표면은, 예컨대 선택적 실릴화에 의해 금속 또는 금속성 표면에 대해 선택적으로 관능화되거나 패시베이션될 수 있다. 일부 구현예에서, 유전체 표면은 기상 패시베이션제, 예컨대 기상 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)과 접촉한다. 기판은, 유전체 표면이 실리콘 종으로 선택적으로 패시베이션 되는 충분한 시간 동안에 충분한 양의 패시베이션제와 접촉할 수 있다. 일부 구현예에서, 유전체 표면은 자기 조립 단층(SAM)으로 패시베이션되지 않는다.
폴리머 패시베이션 층을 유전체 표면에 대해 금속 표면 상에 선택적으로 증착할 수 있다. 일부 구현예에서, 유전체 표면 상의 패시베이션제는 유전체 표면 상의 폴리머 패시베이션 층의 형성을 억제하거나 방지한다.
플라즈마 처리를 사용하여 유전체 표면을 활성화시킬 수 있다. 예를 들어, 실릴화된 유전체 표면은 H2 플라즈마에 노출될 수 있다.
예컨대, 기판을 촉매 화합물과 접촉시킴으로써, 패시베이션된 금속 표면에 대해 유전체 표면 상에 선택적으로 촉매가 형성된다. 이러한 표면은 본원에서 "촉매화된 유전체 표면"으로 지칭될 수 있다. 일부 구현예에서, 촉매는 금속 촉매이다. 일부 구현예에서, 기판은 후술하는 바와 같은 금속 촉매와 접촉한다. 촉매는, 예를 들어, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, 또는 Ga를 포함한 금속 화합물일 수 있다. 일부 구현예에서, 촉매는 금속 할라이드, 유기금속 또는 금속유기 화합물이다. 일부 구현예에서, 촉매는 금속 산화물일 수 있다. 일부 구현예에서, 금속 촉매는, 트리메틸알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함한, 알루미늄 촉매이다. 일부 구현예에서, 촉매는 지르코늄 화합물, 예컨대 Zr-DO4이다. 일부 구현예에서, 촉매는 테트라키스(에틸메틸아미노)지르코늄(TEMAZ)이다. 일부 구현예에서, 촉매는 ZrCl4이다. 일부 구현예에서, 촉매는 트리스(이소프로필-시클로펜타디에닐)란타늄(LA(iPrCp)3)과 같은 란타늄 화합물이다. 일부 구현예에서, 촉매는 티타늄 이소프로폭시드(TTIP) 또는 TiCl4와 같은 티타늄 화합물이다. 일부 구현예에서, 촉매는 갈륨 화합물, 예컨대 트리메틸갈륨(TMG)이다. 일부 구현예에서, 촉매는 HfCl4 또는 Hf(NO3)4와 같은 하프늄 화합물이다. 일부 구현예에서, 촉매는 붕소를 포함한 화합물일 수 있다.
일부 구현예에서, 촉매는 패시베이션된 금속 표면에 대해 유전체 표면, 예를 들어 관능화된 유전체 표면 상에 우선적으로 증착할 수 있다. 일부 구현예에서, 촉매는 패시베이션된 금속 표면에 대해 유전체 표면 상에 우선적으로 증착한다. 일부 구현예에서, 금속 표면 상의 패시베이션제는 금속 표면 상에 촉매의 증착을 억제하거나 방지한다. 일부 구현예에서, 패시베이션제에 대한 단일 노출은, 기판이 촉매와 접촉하는 1, 2, 5, 10, 20, 30, 40 또는 50회 이상의 사이클 동안에 금속 표면 상에 촉매가 증착되는 것을 방지할 수 있다. 일부 구현예에서, 금속 표면은 패시베이션되지 않고, 촉매는 금속 표면 상의 패시베이션 재료가 없는 상태에서 유전체 표면 상에 선택적으로 증착된다. 예를 들어, 촉매는 금속 표면에 대해 관능화된 유전체 표면 상에 선택적으로 증착될 수 있다. 일부 구현예에서, 촉매는 사용되지 않는다.
유전체 표면 상에 촉매를 증착한 이후에, 패시베이션된 금속 표면에 대해 촉매화된 유전체 표면 상에, 사용된다면 실리콘 산화물 층을 선택적으로 증착한다. 예를 들어, 기판은 실리콘 전구체, 예컨대 실란올에 노출될 수 있다. 일부 구현예에서, 기판은 실리콘 전구체에만 노출되는 반면에, 일부 구현예에서, 기판은 실리콘 전구체 및 H2O와 같은 산소 전구체에 노출된다. 실리콘 전구체는, 촉매를 포함한 표면과 반응하여 실리콘 산화물을 형성할 수 있다. 예를 들어, 유전체 표면 상의 촉매 원자에서 실란올이 분해되도록, 실란올을 포함한 실리콘 반응물과 기판이 접촉되어, 금속 표면에 대해 유전체 표면 상의 실리콘 산화물이 선택적으로 성장할 수 있다.
일부 구현예에서, 기판은 하나 이상의 증착 사이클에서 유전체 패시베이션제, 금속 패시베이션제, 촉매, 및 실란올 반응물과 교대 순차적으로 접촉한다. 이러한 증착 사이클은, 금속 표면에 대해 유전체 표면 상에 원하는 두께의 실리콘 산화물 막을 선택적으로 증착하기 위해 여러 번 반복될 수 있다.
도 1을 참조하면, 일부 구현예에서, 완전한 증착 사이클(100)에서 유전체 표면 및 금속 표면을 포함한 기판은, 제1 패시베이션제(110)와 초기에 접촉한다. 제1 패시베이션제는, 예를 들어 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)와 같은 실리릴화제일 수 있다. 제1 패시베이션제는 유전체 표면을 패시베이션하거나 관능화할 수 있다. 과량의 제1 패시베이션제가 기판 표면으로부터 제거될 수 있다. 일부 구현예에서, 제1 패시베이션제는 증착 공정에서 단 한 번만, 예를 들어 제1 증착 사이클(100)에서만 제공된다(110). 일부 구현예에서, 단계(110)는 생략되고, 제1 패시베이션제는 사용되지 않는다.
다음으로, 금속 표면은 하나 이상의 제2 패시베이션제에 노출됨으로써 패시베이션 되어(120), 금속 표면 상에 폴리머 층의 선택적 형성을 초래한다. 일부 구현예에서, 자기 조립 단층(SAM)은, 예를 들어 기상 도데칸티올 전구체로부터 금속 층 상에 선택적으로 형성된다. 일부 구현예에서, 폴리이미드 층은 금속 표면 상에 선택적으로 증착된다. 일부 구현예에서, 금속 표면은 하나의 증착 사이클(100)에서만, 예를 들어 제1 증착 사이클(100)에서만 패시베이션 된다(120).
기판이 촉매(140) 및 실리콘 전구체, 예컨대 실란올(140)과 접촉하는, 실리콘 산화물 증착 서브 사이클(130)이 수행된다. 전술한 바와 같이, 일부 구현예에서, 기판은 실리콘 반응물에 더하여 H2O와 같은 산소 반응물과 접촉한다. 과량의 촉매 및 실란올은, 각각의 접촉 단계(140150) 후에 기판 표면으로부터 제거될 수 있다. 서브 사이클은, 단일 증착 사이클(100)에서 반복될 수 있다(160). 일부 구현예에서, 기판이 촉매(140)와 실란올 반응물(150)와 교대 순차적으로 접촉하는 1, 2, 3 또는 그 이상의 실리콘 산화물 증착 서브 사이클이, 각각의 증착 사이클(100)에서 수행된다. 즉, 기판이 제1 패시베이션제와 접촉(110)하고/하거나 금속 표면이 패시베이션(120) 될 때마다, 다수의 실리콘 산화물 증착 서브 사이클(130)이 수행될 수 있다. 일부 구현예에서, 실리콘 산화물 증착 서브 사이클(130)은 기판을 제1 패시베이션제와 접촉시킴으로써, 다른 증착 사이클(100)을 개시하기 전에 최대 50회 반복된다.
일부 구현예에서, 신규 증착 사이클(100)을 시작하기 전에 금속 표면 상의 폴리머 패시베이션 층이 제거된다(170). 폴리머 패시베이션 층은, 예를 들어, 플라즈마 식각에 의해 제거될 수 있다. 일부 구현예에서, 폴리머 패시베이션 층은 모든 증착 사이클(100)에서 제거되지 않고, 하나 이상의 증착 사이클에서만, 예컨대 마지막 증착 사이클에서 제거된다.
증착 사이클(100)은, 원하는 두께의 실리콘 산화물 막이 금속 표면 상에 선택적으로 형성될 때까지 반복될 수 있다.
일부 구현예에서, 실리콘 산화물이 위에 선택적으로 증착된 유전체 표면은, 선택적으로 패시베이션된 금속 또는 금속성 표면에 적어도 부분적으로 인접한다. 예를 들어, 유전체 표면의 적어도 일부분은 금속 또는 금속 산화물 표면에 인접할 수 있다.
일부 구현예에서, 구리 표면과 같은 금속 표면 상에 폴리머 패시베이션 층을 형성하기 전에 전술한 바와 같이, 유전체 표면은, 예컨대 실릴화제에 대한 노출에 의해 패시베이션 층이 제공될 수 있다. 유전체 표면 상의 패시베이션 층은, 폴리머에 의한 금속 표면의 후속 패시베이션을 위한 선택도를 용이하게 할 수 있다. 또한, 유전체 상의 패시베이션 층은, 촉매가 유전체 표면 상에서 화학 흡착될 수 있도록 표면을 관능화하는 역할을 할 수 있다. 일부 구현예에서, 유전체 표면 상의 패시베이션 층은, 예컨대 플라즈마 반응물, 예를 들어 H2 플라즈마에 노출됨으로써 활성화될 수 있다. 일부 구현예에서, 활성화 단계는 금속 층의 선택적 패시베이션 이후에 수행될 수 있다.
본원에 설명된 선택적 증착 공정에 사용될 수 있는 적합한 반응기의 예시는, 상업적으로 이용 가능한 원자층 증착(ALD) 장비를 포함한다. ALD 반응기 외에도 CVD 반응기, VDP 반응기, 및 MLD 반응기를 포함하여 폴리머 패시베이션 층의 성장을 가능하게 하는 많은 다른 종류의 반응기가 사용될 수 있다.
기판 표면
본 개시의 일부 양태에 따라, 선택적 증착은 금속 또는 금속성 표면에 대해 우선적으로 유전체 표면 상에 관심 막, 예컨대 실리콘 산화물 막을 증착하기 위해 사용될 수 있다. 이러한 기판은 도 2a에 개략적으로 나타나 있다. 일부 구현예에서, 2개의 표면은 기판 상에서 적어도 부분적으로 서로 인접하고, 예를 들어 개략적으로 도시된다.
일부 구현예에서, 금속 또는 금속성 표면에 대한 산화물 표면의 선택적 실릴화와 같은 유전체 표면의 선택적 패시베이션에 이어서, 폴리머 층의 형성과 같은 금속 또는 금속성 표면의 선택적 패시베이션은, 유전체 표면 상에 금속 촉매의 후속 선택적 증착을 용이하게 하고, 이어서 패시베이션된 금속 표면에 대한 유전체 표면 상에 실리콘 산화물 층의 선택적 증착을 용이하게 할 수 있다. 폴리머 패시베이션 층은 후속하여 금속 층으로부터 제거될 수 있다.
일부 구현예에서, 표면 중 하나는 기판의 전도성 금속 또는 금속성 표면일 수 있으며, 다른 유전체 표면은 기판의 비전도성 산화물 표면일 수 있다. 일부 구현예에서, 실리콘 산화물(예, 성장되고 증착된 실리콘 산화물 재료 및 실리콘 상의 자연 산화물을 포함하는 저 유전율 재료)계 표면과 같은 비전도성 유전체 표면은 -OH기를 포함한다. 실릴화제에 노출시킴으로써, 금속 또는 금속성 표면에 대해 유전체 표면을 선택적으로 패시베이션할 수 있다. 필요한 경우, 표면은 유전체 층 상의 금속 촉매의 선택적 화학 흡착을 용이하게 하기 위해 후속하여 활성화될 수 있고, 후속하여 실리콘 산화물은 금속 표면에 대해 유전체 표면 상에 선택적으로 증착될 수 있다.
2개의 기판 표면 사이의 재료 차이는, 기상 증착 방법이 금속 또는 금속성 표면에 대해 산화물 표면을 선택적으로 패시베이션하여 촉매화된 실리콘 산화물 증착을 위해 이를 활성화시키고, 또한 금속 또는 금속 표면을 패시베이션하여 그 위에 실리콘 산화물의 형성을 억제하거나 방지할 수 있도록 한다.
일부 구현예에서, 주기적인 기상 증착, 예를 들어 주기적인 CVD 또는 원자층 증착(ALD) 공정이 사용된다.
일부 구현예에서, 예컨대 실릴화에 의해 유전체 표면 상의 패시베이션 층 형성에 대한 선택도는, 금속 또는 금속성 표면 상에 이전 패시베이션제 없이 달성될 수 있고/있거나, 더 많은 패시베이션 층을 수용하도록 유전체 층의 표면 상에 촉매제 없이 달성될 수 있다. 예를 들어, 제1 표면이 산화물이고 제2 표면이 금속인 구현예에서, 산화물 표면의 전처리 또는 금속 또는 금속성 표면의 전처리 없이, 금속 또는 금속성 표면에 대해 선택적으로 산화물층을 실릴화할 수 있다.
일부 구현예에서, 금속 또는 금속성 표면은 선택적으로 패시베이션되어 그 표면 상에서 실리콘 산화물 증착을 억제한다. 예를 들어, 폴리머 층은 유전체 표면에 대해 금속 또는 금속성 표면 위에 형성될 수 있어서, 폴리머로 덮인 금속성 표면에 대해 유전체 표면 상에 실리콘 산화물의 후속 선택적 증착을 용이하게 한다. 일부 구현예에서, 폴리머 층은 자기 조립 단층(SAM)일 수 있다. 일부 구현예에서, 폴리머 층은 폴리이미드 층일 수 있다. 폴리머 패시베이션 층의 선택적 증착이 완료된 이후, 패시베이션된 표면에 대해 유전체 표면 상에 촉매 및/또는 실리콘 산화물과 같은 관심 재료의 선택적 증착을 수행할 수 있다. 패시베이션 층은 유전체 표면 상에 실리콘 산화물을 선택적으로 증착한 후에 제거될 수 있다.
본원에 사용되는 바와 같이, 달리 구체화되지 않으면, 표면이 본원에서 금속 표면으로서 지칭된 경우에는 이는 금속 또는 금속성 표면일 수 있다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 구현예에서, 금속 표면의 재료는 표면 산화 유무에 관계없이 전기 전도성이다. 일부 구현예에서, 금속 표면은 하나 이상의 전이금속을 포함한다. 일부 구현예에서, 금속 표면은 Al, Cu, Co, Ni, W, Nb, Fe, 또는 Mo 중 하나 이상을 포함한다. 일부 구현예에서, 금속 표면은 Cu를 포함한다. 일부 구현예에서, 금속 표면은 구리 표면이다. 일부 구현예에서, 금속성 표면은 티타늄 질화물을 포함한다. 일부 구현예에서, 금속 표면은 Ru와 같이 하나 이상의 귀금속을 포함한다. 일부 구현예에서, 금속 표면은 금속 산화물, 예컨대 전도성 금속 산화물, 금속 질화물, 금속 탄화물, 금속 붕화물 또는 이들의 조합을 포함한다. 예를 들어, 금속 또는 금속성 표면은 RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, MoOx, WOx, WNCx, TaN, 또는 TiN 중 하나 이상을 포함할 수 있다.
금속 표면에 대해 유전체 표면 상에 패시베이션 층의 선택적 증착
일부 구현예에서, 산화물 (또는 다른 유전체) 표면은 예컨대 실릴화에 의해 패시베이션될 수 있다. 일부 구현예에서, 패시베이션은 동일한 기판의 금속 또는 금속성 표면과 같이, 다른 표면에 대해 산화물 표면에 선택적이다(예를 들어, 도 2b 참조). 일부 구현예에서, 유전체 표면은 금속 표면 상에 폴리이미드 패시베이션 층과 같은 폴리머 패시베이션 층을 형성하기 전에 실릴화에 의해 패시베이션 된다. 일부 구현예에서, 유전체 표면은, 금속 표면 상에 폴리머 패시베이션 층을 형성하기 전에 패시베이션 된다.
일부 구현예에서, 유전체 층의 처리는 유전체 복원 단계이다. 금속 표면 상에 폴리머 패시베이션 층의 선택적 증착 전 및 유전체 표면 상의 실리콘 산화물 증착 전에 그리고 표면이 세정된 후에 상이한 종류의 실리콘 함유 재료 회복 단계들이 수행될 수 있다(수행되는 경우).
일부 구현예에서, 산화물 표면은 기상 실릴화제에 1회 이상 노출하여 실릴화된다. 예를 들어, 패시베이션 단계에서, 실릴화제는 반응 공간에 도입되어 기판 표면과 접촉할 수 있다. 실릴화제는, 예를 들어 클로로실란, 알콕시실란, 실릴할라이드, 실릴시아네이트, 실릴아지드, 실릴이소시아네이트, 실릴이소티오시아네이트, 실릴술포네이트, 실릴아세트아미드, 실릴카보디이미드, 알릴실란, 또는 질소 함유 실란, 예컨대 실릴잔, 이미다졸 또는 아민일 수 있다. 일부 구현예에서, 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함하고, 실릴화는 기판을 적어도 하나의 실릴화제 펄스에 노출하는 단계를 포함한다. 일부 구현예에서, 금속 또는 금속성 표면 및 산화물 표면 모두는 실릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)과 접촉한다. 일부 구현예에서, 기판의 산화물 표면은 기판의 금속 또는 금속성 표면에 대해 선택적으로 실릴화된다.
일부 구현예에서, 실릴화제는 알킬아미노실란이다. 예를 들어, 기판의 산화물 표면은 화학식 (RI)3Si(NRIIRIII)을 갖는 알킬아미노실란과 접촉할 수 있되, RI는 선형 또는 분지형 C1-C5 알킬기이거나 선형 또는 분지형 C1-C4 알킬기이고, RII는 선형 또는 분지형 C1-C5 알킬기, 선형 또는 분지형 C1-C4 알킬기, 또는 수소이고, RIII은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이다. 일부 구현예에서, 실릴화제는 트리메틸(디메틸아미노)실란, 트리메틸(디에틸아미노)실란 또는 트리메틸(에틸아미노실란)이다.
일부 구현예에서, 실릴화제는 실란이다. 예를 들어, 유전체 표면은 일반 화학식 (RI)3SiA를 갖는 실란과 접촉할 수 있되, RI은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이고, A는 실리콘 함유 표면과 반응성인 임의의 리간드이다. 일부 구현예에서, 실란은 리간드 A를 통하여 표면에 결합하거나, 리간드 A는 표면과 결합을 형성하지만, 그 후 리간드 A는 표면 및/또는 실란으로부터 이동할 수 있다.
실릴화제는, 단일 펄스로 또는 다수 펄스의 순서로 기판을 유지하는 반응 챔버 안에 제공될 수 있다. 일부 구현예에서, 실릴화제는 단일 긴 펄스로 또는 다수의 짧은 펄스로 제공된다. 펄스는 순차적으로 제공될 수 있다. 일부 구현예에서, 실릴화제는 약 0.1 내지 약 60초의 1 내지 25회 펄스로 제공된다. 일부 구현예에서, 실릴화제는 약 0.1 내지 약 60초, 약 1 내지 30초 또는 약 25초의 단일 펄스로 제공된다. 펄스 사이에서, 실릴화제는 반응 공간으로부터 제거될 수 있다. 예를 들어, 반응 챔버는 불활성 가스로 배기 및/또는 퍼지될 수 있다. 퍼지는, 예를 들어 약 1 내지 30초 이상일 수 있다. 반응 챔버를 퍼지한다는 것은, 예컨대 진공 펌프로 챔버를 배기하고/배기하거나 반응기 내부의 가스를 아르곤이나 질소와 같은 비활성 가스로 대체함에 의해서와 같이 기상 패시베이션제 및/또는 기상 부산물을 반응 챔버로부터 제거하는 것을 의미한다. 일부 구현예에서, 기판은 패시베이션제를 포함한 반응 공간으로부터 이동된다.
일부 구현예에서, 실릴화는 실리콘 함유 유전체의 Si-OH 말단화 표면과 실리콘 화합물, 예컨대 Cl-Si(CH3)3의 반응을 통하여 이루어진다: Si-OH + Cl-Si(CH3)3 → Si-O-Si(CH3)3 + HCl. 따라서, 일부 구현예들에서, 실리콘 화합물을 제공하기 전에 적절한 표면 말단이 형성된다. 또한, 더 긴 탄소 함유 리간드를 갖는 실리콘 화합물들의 사용이 가능하다.
일부 구현예들에서, 실리콘 함유 표면은 하나 이상의 실란, 예컨대 실란, 디실란, 또는 트리실란과 접촉함으로써 처리될 수 있다. 일부 구현예에서, 실란은 화학식 SinH2n+2 (n은 1 이상)을 갖거나, 환형 실란을 갖고, 화학식 SinH2n(n은 3 이상)을 갖는다. 일부 구현예에서, 실란은 디실란 Si2H6 또는 트리실란 Si3H8이다. 일부 구현예에서, 실란은 다음 화학식을 갖는다: SiHxLy, 여기서 L은 알킬, 알케닐, 알키닐, 알콕시드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우에서, L은 F, Cl, Br 및 I로 구성되는 할라이드 군으로부터 선택되는 리간드이다: F, Cl, Br 및 I.
일부 구현예에서, 실리콘 함유 표면은 트리메틸클로로실란 (CH3)3SiCl(TMCS) 또는 식 R3-xSiXx를 갖는 다른 유형의 알킬할로실란으로 처리되는데, 여기서 x는 1 내지 3의 정수이고, R은 각각 독립적으로 C1-C5 탄화수소, 예컨대 메틸, 에틸, 프로필 또는 부틸, 바람직하게는 메틸이도록 선택될 수 있고, X는 할라이드, 바람직하게는 클로라이드이다. 미국 특허 제6,391,785호는 다양한 표면 개질 및 처리를 개시하며, 그의 전체가 본원에 포함되어 있다. 일부 구현예에서, 미국 특허 제6,391,785호에 개시된 표면 개질 또는 처리 중 어느 하나가 본원에 개시된 방법에서 사용될 수 있다.
일부 구현예에서, 실릴화 공정의 온도는, 예를 들어 약 50°C 내지 약 500°C, 또는 약 100°C 내지 약 300°C일 수 있다.
실릴화 공정 중의 압력은, 예를 들어 약 10-5 내지 약 760 토르, 또는 일부 구현예에서 약 1 내지 10 토르 또는 약 0.1 내지 10 토르일 수 있다.
일부 구현예에서, 실릴화된 표면은 후속하여 촉매의 후속 화학 흡착을 위해 표면을 활성화시키기 위해 플라즈마에 노출된다. 일부 구현예에서, 플라즈마는 H2 플라즈마이다. 플라즈마 처리는 금속 표면 상에 폴리머 패시베이션 층을 형성하기 전 또는 후에 수행될 수 있다.
일부 구현예에서, 실릴화 공정 및/또는 플라즈마 처리는 인시츄로, 즉 동일한 반응 챔버에서, 증착 공정의 다른 부분으로서, 예를 들어 금속 표면에 대해 유전체 표면 상에 알루미늄 산화물과 같은 금속 산화물의 선택적 증착 및/또는 금속 표면에 대해 유전체 표면 상에 실리콘 산화물의 후속 선택적 증착으로서 수행될 수 있다. 그러나, 일부 구현예에서, 실릴화 및/또는 플라즈마 처리 는 별도의 반응 챔버에서 하나 이상의 다른 공정 단계로부터 수행될 수 있다. 일부 구현예에서, 실릴화가 수행되는 반응 챔버는 하나 이상의 추가적인 반응 챔버를 포함하는 클러스터 툴의 부분이다. 예를 들어, 이러한 클러스터 툴은, 유전체 표면 상에 알루미늄 촉매를 증착하기 위해, 유전체 표면 상에 실리콘 산화물을 증착하기 위해, 및/또는 하나 이상의 층을 에칭하기 위해, 금속 표면 상에 폴리머 패시베이션 층을 형성하기 위한, 실릴화된 유전체 표면의 플라즈마 처리를 위한 추가적인 반응 챔버를 포함할 수 있다. 일부 구현예에서, 클러스터 툴은 전처리, 유전체 표면의 실릴화, 금속 표면 상에 폴리머 패시베이션 층의 형성, 알루미늄 촉매의 선택적 증착, 실리콘 산화물의 선택적 증착, 및 후속 증착후 처리, 예컨대 금속 표면으로부터 폴리머 패시베이션 층을 제거하기 위한 에칭을 위한 별도의 모듈을 포함한다. 일부 구현예에서, 동일한 모듈은 2개 이상의 공정에 사용될 수 있다.
일부 구현예에서, 기판은, 증착 공정 이전 및 시작, 또는 선택적 증착 공정의 하나 이상의 단계 이전 및 이후에 전처리 되거나 세정될 수 있다. 일부 구현예에서, 기판은 증착 공정의 시작 또는 이전에 플라즈마 세척 공정을 거칠 수 있다. 일부 구현예에서, 플라즈마 세정 공정은 이온 충돌을 포함할 수 없거나 상대적으로 적은 양의 이온 충돌을 포함할 수 있다. 일부 구현예에서, 패시베이션 공정 및/또는 선택적 금속 산화물 증착 공정의 시작 또는 그 이전에 기판 표면을 플라즈마, 라디컬, 여기 종, 및/또는 원자 종에 노출시킬 수 있다. 일부 구현예에서, 기판 표면은 증착 공정의 시작 또는 이전에 수소 플라즈마, 라디컬, 또는 원자 종에 노출될 수 있다.
일부 구현예에서, 금속 표면에 대해 유전체 표면 상에 촉매를 선택적으로 증착하기 전에, 유전체 표면은 패시베이션되지 않는다.
유전체 표면에 대해 금속 표면 상에 폴리머 패시베이션 층의 선택적 증착
폴리머 패시베이션 층은, 폴리머 패시베이션 층을 포함한 금속 표면에 대해 유전체 표면 상에 실리콘 산화물을 선택적으로 형성하는 것을 용이하게 할 수 있다. 일부 구현예에서, 폴리머 패시베이션 층은, 예를 들어 자기 조립 단층(SAM), 폴리이미드 층 또는 폴리에스테르 또는 폴리아미드와 같은 나일론과 같은 상이한 폴리머를 포함할 수 있다. 폴리머 패시베이션 층은, 촉매의 화학 흡착 및 금속 또는 금속성 표면 상의 후속 실리콘 산화물 증착을 억제함으로써, 유전체 표면 상의 실리콘 산화물의 선택적 증착을 용이하게 하는 역할을 할 수 있다. 용어 "패시베이션"은 표지이며, 금속 표면 상에서 실리콘 산화물 층 증착의 100% 비활성화를 의미할 필요는 없다. 본원의 다른 부분에서 기술된 바와 같이, 불완전한 선택도조차 에치 백 단계 후에 완전히 선택적인 구조를 얻기에 충분할 수 있다.
일부 구현예에서, 선택적으로 증착된 고분자는 폴리이미드이다. 일부 구현예에서, 증착된 폴리머는 폴리이미드이다. 증착된 고분자의 다른 예는 다이머, 트리머, 폴리우레아층, 폴리티오펜 폴리우레탄, 폴리티오우레아, 폴리에스테르, 폴리이민, 다른 고분자 형태 또는 상기 재료의 혼합물을 포함한다. 기상 증착된 유기 재료는 고분자 형성의 전구체일 수 있는 폴리아믹산을 포함한다. 선택적으로 증착된 층은, 폴리머와 폴리아믹산을 포함한 혼합물일 수 있으며, 이는 본 발명의 목적 상 폴리머로 간주될 것이다.
폴리머 패시베이션 층은 기판의 유전체 표면에 대해 기판의 금속 또는 금속성 표면 상에 선택적으로 증착될 수 있고, 도 2c에 나타낸 바와 같다. 폴리머를 포함한 이러한 표면은 패시베이션된 금속 층으로서 지칭될 수 있다.
폴리머 패시베이션 층은 화학 기상 증착(CVD), 원자층 증착(ALD) 및 분자층 증착(MLD)과 같은 기상 증착 방법 및 액상 증착 방법을 포함하는 다양한 방법에 의해 증착될 수 있다. 폴리머 층을 선택적으로 증착하기 위한 일부 예시적인 방법은, Atomic Layer Deposition of Polyimide Thin Films(Putkonen et al. J. Mater. Chem. 2007. 17:664-669) 및 Organic and Inorganic-Organic Thin Film Stutures by Molecular Doposition:A Review(Sundberg and Karppinen. Beilstein. J. Nanotechnol. 2014. 5: 1104-1136)에 개시되고, 그 전체 개시 내용은 모든 목적을 위해 참조로서 본원에 포함된다. 폴리머 패시베이션 층으로서 작용하기 위한 폴리머 층의 선택적 증착에 대한 추가적인 정보 및 예시는 아래에 제공된다.
다양한 반응물을 사용하여, 예컨대 ALD 또는 CVD에 의한 기상 증착에 의해 폴리머 패시베이션 층을 형성할 수 있다.
일부 구현예에서, 기판은, 제1 실리콘 전구체와 제2 플라즈마 반응물과 접촉한다. 일부 구현예에서, 반응물은 순차적으로 제공된다. 그러나, 일부 구현예에서, 기판은, 제1 반응물과 접촉하기 전에 제2 반응물과 접촉할 수 있다.
일부 구현예에서, 반응물은 금속 원자를 함유하지 않는다. 일부 구현예에서, 반응물은 반금속 원자를 함유하지 않는다. 일부 구현예에서, 반응물 중 하나는 금속 원자 또는 반금속 원자를 포함한다. 일부 구현예에서, 반응물은 탄소, 수소 및 다음 중 하나 이상을 포함한다: N, O, S, P 또는 Cl이나 F와 같은 할라이드. 일부 구현예에서, 제1 반응물은, 예를 들어 아디포일 염화물(AC)을 포함할 수 있다.
증착 조건은 선택된 반응물에 따라 달라질 수 있고, 선택에 따라 최적화될 수 있다. 일부 구현예에서, 반응 온도는 약 80℃ 내지 약 250℃의 범위에서 선택될 수 있다. 일부 구현예에서, 반응 챔버 압력은 약 1 밀리토르 내지 약 1000 토르일 수 있다. 예를 들어 선택적으로 증착된 유기층이 폴리아미드를 포함하는 일부 구현예에서, 반응 온도는 약 80℃ 내지 약 150℃의 범위에서 선택될 수 있다. 선택적으로 증착된 유기층이 폴리아미드를 포함하는 일부 구현예에서, 반응 온도는 약 80℃, 90℃, 100℃, 110℃, 120℃, 130℃, 140℃, 또는 150℃보다 더 높을 수 있다. 선택적으로 증착된 유기층이 폴리이미드를 포함하는 일부 구현예에서, 반응 온도는 약 160℃, 180℃, 190℃, 200℃, 또는 210℃보다 더 높을 수 있다.
예를 들어, 일부 구현예에서 폴리이미드를 순차적으로 증착하는 경우, 기판 온도는 약 150℃ 내지 약 250℃ 또는 약 170℃ 내지 약 210℃의 범위에서 선택될 수 있고, 압력은 약 1 밀리토르 내지 약 760 토르, 더 구체적으로는 약 100 밀리토르 내지 약 100 토르의 범위에서 선택될 수 있다.
일부 구현예에서, 본원에 기술된 폴리머 패시베이션 층 선택적 증착 공정에 사용하기 위한 반응물은 일반 조성식을 가질 수 있다:
(1) R1(NH2)2
여기서, R1은 1개 내지 5개의 탄소 원자, 2개 내지 5개의 탄소 원자, 2개 내지 4개의 탄소 원자, 5개 이하의 탄소 원자, 4개 이하의 탄소 원자, 3개 이하의 탄소 원자, 또는 2개의 탄소 원자를 포함하는 지방족 탄소 사슬일 수 있다. 일부 구현예에서, 반응물이나 전구체 중의 탄소 원자들 간의 결합은 단일 결합, 이중 결합, 삼중 결합, 또는 이들의 일부 조합일 수 있다. 따라서, 일부 구현예에서, 반응물은 두 개의 아미노기를 포함할 수 있다. 일부 구현예에서, 반응물의 아미노기는 지방족 탄소 사슬 상의 하나의 말단 위치 또는 두 말단 위치 모두를 차지할 수 있다. 그러나, 일부 구현예에서, 반응물의 아미노기는 지방족 탄소 사슬 상의 어느 말단 위치도 차지하지 않을 수 있다. 일부 구현예에서, 반응물은 디아민을 포함할 수 있다. 일부 구현예에서, 반응물은 1,2-디아미노에탄(l), 1,3-디아미노프로판(l), 1,4-디아미노부탄(l), 1,5-디아미노펜탄(l), 1,2-디아미노프로판(l), 2,3-부탄디아민, 2,2-디메틸-1,3-프로판디아민(l)의 군으로부터 선택된 유기 전구체를 포함할 수 있다.
일부 구현예에서, 본원에 기술된 선택적 증착 공정에 사용하기 위한 반응물은 일반 조성식을 가질 수 있다:
(2) R2(COCl)2
여기서, R2는 1개 내지 3개의 탄소 원자, 2개 내지 3개의 탄소 원자, 또는 3개 이하의 탄소 원자를 포함하는 지방족 탄소 사슬일 수 있다. 일부 구현예에서, 반응물이나 전구체 중의 탄소 원자들 간의 결합은 단일 결합, 이중 결합, 삼중 결합, 또는 이들의 일부 조합일 수 있다. 일부 구현예에서, 반응물은 클로라이드를 포함할 수 있다. 일부 구현예에서, 반응물은 디아실 클로라이드를 포함할 수 있다. 일부 구현예에서, 반응물은 옥살릴 클로라이드(I), 말로닐 클로라이드, 및 푸마릴 클로라이드의 군으로부터 선택된 유기 전구체를 포함할 수 있다.
일부 구현예에서, 반응물은 1,4-디이소시아나토부탄 또는 1,4-디이소시아나토벤젠의 군으로부터 선택된 유기 전구체를 포함한다. 일부 구현예에서, 반응물은 테레프탈로일 디클로라이드, 헥산디올 디클로라이드와 같은 알킬디올 디클로라이드, 옥탄디올 디클로라이드, 노난디올 디클로라이드, 데칸디올 디클로라이드, 또는 테레프탈로일 디클로라이드의 군으로부터 선택된 유기 전구체를 포함한다. 일부 구현예에서, 반응물은 1,4-디이소티오시아나토벤젠 또는 테레프탈알데히드의 군으로부터 선택된 유기 전구체를 포함한다. 일부 구현예에서, 기화되는 반응물은 1,4-디아미노벤젠, 데칸-1,10-디아민, 4-니트로벤젠-1,3-디아민, 4,4'-옥시디아닐린, 또는 에틸렌 디아민과 같은 디아민일 수도 있다. 일부 구현예에서, 반응물은 테레프탈산 비스(2-하이드록시에틸) 에스테르일 수 있다. 일부 구현예에서, 반응물은 카르복시산, 예를 들어 알킬-, 알케닐-, 알카디에닐-디카르복시산 또는 트리카르복시산, 예컨대 옥살산(ethanedioc acid), 말론산(propanedioic acid), 석신산(butanedioic acid), 글루타르산(pentanedioic acid) 또는 프로판-1,2,3-트리카르복시산일 수 있다. 일부 구현예에서, 반응물은 벤조산, 벤젠-1,2-디카르복시산, 벤젠-1,4-디카르복시산 또는 벤젠-1,3-디카르복시산과 같은 방향족 카르복시산 또는 디카르복시산일 수 있다. 일부 구현예에서, 반응물은 탄화수소에 결합된 하나 이상의 OH-기를 포함할 수 있다. 일부 구현예에서, 반응물은 디올, 트리올, 4-아미노페놀과 같은 아미노페놀, 벤젠-1,4-디올 또는 벤젠-1,3,5-트리올의 군으로부터 선택될 수 있다. 일부 구현예에서, 반응물은 8-퀴놀리놀일 수 있다. 일부 구현예에서, 반응물은 알케닐트리클로로실란과 같은 알케닐클로로실란, 예컨대 7-옥테닐트리클로로실란을 포함할 수 있다.
일부 구현예에서, 반응물은 약 20℃ 또는 상온에서 약 0.5 토르보다 크거나, 0.1 토르, 0.2 토르, 0.5 토르, 1 토르 이상의 증기압을 가질 수 있다. 일부 구현예에서, 반응물은 약 400℃ 미만, 약 300℃ 미만, 약 250℃ 미만, 약 200℃ 미만, 약 175℃ 미만, 약 150℃ 미만, 또는 약 100 ℃미만의 비등점을 가질 수 있다.
일부 구현예에서, 폴리머 패시베이션 층은 자기 조립 단층(SAM)을 포함한다. 예를 들어, SAM은 유전체 표면 상에 형성하지 않고 금속 표면 위에 선택적으로 형성될 수 있다. 일부 구현예에서, SAM은 티올 또는 황 함유 SAM이다. 일 구현예에서, 금속 표면은 SAM 형성 이전에 산 처리로 전처리될 수 있다.
일부 구현예에서, SAM 패시베이션 층은, 기판을, 티올 SAM 전구체 또는 단량체로서 지칭될 수 있는 황 함유 단량체, 예컨대 1-도데칸티올(CH3(CH2)11SH), 또는 트리클로로(옥타데실)실란 또는 다른 SAM 모노머, 예컨대 트리클로로(옥타데실)실란과 접촉함으로서, 금속 표면 상에 선택적으로 형성될 수 있다.
전술한 바와 같이, 유전체 표면 상에 증착된 임의의 재료는 에치 백 공정에 의해 제거될 수 있다. 일부 구현예에서, 실리콘 산화물의 선택적 증착에 후속하는 에칭 공정은 기판의 제1 표면과 제2 표면 모두로부터 증착된 유기 재료를 제거할 수 있다. 일부 구현예에서, 에칭 공정은 등방성일 수 있다.
일부 구현예에서, 에칭 공정은 금속 및 유전체 표면으로부터 동일한 양 또는 두께의 재료를 제거할 수 있다. 즉, 일부 구현예에서, 제1 표면 상에 증착된 유기 재료의 에칭 속도는 제2 표면 상에 증착된 유기 재료의 에칭 속도와 실질적으로 유사할 수 있다. 증착 공정의 선택성으로 인해, 기판의 유전체 표면 상에 증착된 유기 재료의 양은, 기판의 금속 표면 상에 증착된 유기 재료의 양보다 실질적으로 더 적다. 따라서, 에칭 공정은 증착된 유기 재료를 기판의 유전체 표면으로부터 완전히 제거할 수 있는 반면 기판의 금속 표면 상에는 증착된 유기 재료가 남아 있을 수 있다.
일부 구현예에서, 금속 표면의 폴리머 패시베이션은 필요하지 않으며, 촉매는 금속 표면에 대해 유전체 표면 상에 선택적으로 증착되고, 금속 표면은 패시베이션되지 않는다.
금속 표면에 대해 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착
실리콘 산화물의 후속 증착을 위한 촉매는, 기판의 금속 표면에 대해 기판의 유전체 표면 상에 선택적으로 증착될 수 있다. 촉매를 포함한 이러한 표면은, 촉매화된 유전체 표면으로서 지칭될 수 있다. 일부 구현예에서, 유전체 표면의 패시베이션은 필요하지 않으며, 촉매는 금속 표면에 대해 유전체 표면 상에 선택적으로 증착되고, 유전체 표면은 패시베이션되지 않는다. 일부 구현예에서, 금속 표면의 패시베이션은 필요하지 않으며, 촉매는 금속 표면에 대해 유전체 표면 상에 선택적으로 증착되고, 금속 표면은 패시베이션되지 않는다. 그러나, 일부 구현예에서, 촉매의 선택적 증착은 전술한 바와 같은 유전체 표면의 패시베이션 및/또는 전술한 바와 같은 금속 표면의 패시베이션에 의해 촉진되거나 개선된다. 따라서 일부 구현예에서, 패시베이션된 금속 표면에 대해 패시베이션된 유전체 표면 상에 촉매를 선택적으로 증착한다. 일부 구현예에서, 촉매는 사용되지 않는다. 예를 들어, 일부 구현예에서, 기판 표면 자체는 추가 촉매를 필요로 하지 않고 실리콘 산화물 증착을 촉매할 수 있다.
도 2d를 참조하면, 일부 구현예에서 알루미늄 촉매(250)이 금속 표면(210)에 대해 유전체 표면(220) 상에 선택적으로 증착된다. 일부 구현예에서, 알루미늄 촉매(250)는 본원에 기술된 바와 같은 폴리머 층(240)으로 패시베이션된 금속 표면(210)에 대해 본원에 기술된 바와 같은 실릴화 화합물로 패시베이션된 유전체 표면(220) 상에 선택적으로 증착된다.
임의로 유전체 및 금속 표면 상에 패시베이션 층을 선택적으로 형성한 후, 일부 구현예에서, 기판을 촉매 화합물과 접촉시킴으로써 유전체 표면 상에 촉매가 선택적으로 증착된다. 촉매는, 기판의 유전체 표면 상에 촉매 부위의 최대 단일 분자층을 형성한다. 촉매 화합물은 바람직하게는 기상 실란올 반응물로부터 실리콘 산화물의 형성을 촉매한다. 간략하게, 기판은 TPS와 같은 실란올에 노출되고, 실리콘 디옥사이드 막, 예를 들어 SiO2 막과 같은 실리콘 산화물 막이 유전체 표면 위에 형성되고, 도 2e에 나타낸 바와 같다. 실리콘 산화물 막(260)은 전형적으로 다수의 분자 층을 포함한다. 촉매 및 실란올에 대한 노출 사이클은, 필요한 경우에 원하는 두께의 실리콘 디옥사이드 막을 증착하기 위해 반복될 수 있다. 일부 구현예에서, 실란올의 농도는 원하는 증착 속도를 달성하도록 제어될 수 있다.
일부 구현예에서, 촉매는 금속 촉매이다. 촉매는, 예를 들어, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, 또는 Ga를 포함한 금속 화합물일 수 있다. 일부 구현예에서, 촉매는 금속 할라이드, 유기금속 또는 금속유기 화합물이다. 일부 구현예에서, 촉매는 붕소를 포함한 화합물이다.
일부 구현예에서, 촉매는, 소수성 표면과 반응할 수 있는 알킬알루미늄, 알킬보론 또는 알킬아연 화합물이다. 예를 들어, 촉매는 트리메틸 알루미늄(TMA), 트리에틸보론(TEB), 또는 디에틸 아연을 포함할 수 있다.
일부 구현예에서, 촉매는 화학식 MRxA3-x를 갖는 화합물을 포함하며, 여기서 x는 1 내지 3의 정수이고, R은 C1-C5 알킬 리간드이고, M은 B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, 또는 Ga이고, A는 할라이드, 알킬아민, 아미노, 실릴 또는 이의 유도체이다. 일부 구현예에서, R은 C1-C3 알킬 리간드이다. 일부 구현예에서, R은 메틸기 또는 에틸기이다. 일부 구현예에서, M은 붕소이다. 일부 구현예에서, 촉매는 ZnRxA2-x이고, 여기서 x는 1 내지 2의 정수이고, R은 C1-C5 알킬 리간드이고, A는 할라이드, 알킬아민, 아미노, 실릴 또는 이의 유도체이다. 일부 이러한 구현예에서, R은 C1-C3 알킬 리간드이다. 일부 구현예에서, R은 메틸기 또는 에틸기이다.
일부 구현예에서, 촉매는 알루미늄 촉매이다. 사용될 수 있는 Al 화합물의 예시는, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함한다. 일부 구현예에서, 알루미늄 촉매는 헤테로렙틱 알루미늄 화합물이고 이를 포함한다. 일부 구현예에서, 헤테로렙틱 알루미늄 화합물은 알킬기 및 할라이드, 예컨대 Cl과 같은 다른 리간드를 포함한다. 일부 구현예에서, 알루미늄 촉매는 디메틸알루미늄클로라이드를 포함한다. 일부 구현예에서, 알루미늄 촉매는, 두 개의 상이한 알킬기를 리간드로서 포함한 알킬 전구체를 포함한다. 일부 구현예에서, 알루미늄 화합물은 알루미늄 이소프로폭시드이다. 일부 구현예에서, 알루미늄 전구체는 금속유기 화합물을 포함한다. 일부 구현예에서, 알루미늄 전구체는 유기금속 화합물을 포함한다. 일부 구현예에서, 알루미늄 촉매는 알루미늄 화합물이고, 예컨대 트리메틸알루미늄(TMA), 디메틸알루미늄 클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)이다.
일부 구현예에서, 촉매는 지르코늄 화합물, 예컨대 Zr-DO4이다. 일부 구현예에서, 촉매는 테트라키스(에틸메틸아미노)지르코늄(TEMAZ)이다. 일부 구현예에서, 촉매는 ZrCl4이다.
일부 구현예에서, 촉매는 란타늄 화합물, 예컨대 트리스(이소프로필-시클로펜타디에닐)란타늄(La(iPrCp)3)이다.
일부 구현예에서, 촉매는 티타늄 이소프로폭시드(TTIP) 또는 TiCl4와 같은 티타늄 화합물이다.
일부 구현예에서, 촉매는 트리메틸갈륨(TMG)과 같은 갈륨 화합물이다.
일부 구현예에서, 촉매는 HfCl4 또는 Hf(NO3)4와 같은 하프늄 화합물이다.
촉매는, 단일 펄스로 또는 다수 펄스의 순서로 기판을 유지한 반응 챔버 안에 제공될 수 있다. 일부 구현예에서, 촉매는 단일 긴 펄스로 또는 다수의 짧은 펄스로 제공된다. 펄스는 순차적으로 제공될 수 있다. 일부 구현예에서, 촉매는 약 0.1 내지 약 60초의 1 내지 25회 펄스로 제공된다. 일부 구현예에서, 촉매는 약 0.1 내지 약 60초, 약 1 내지 약 30초 또는 약 25초의 단일 펄스로 제공된다. 펄스 사이에서, 과량의 촉매가 반응 공간으로부터 제거될 수 있다. 예를 들어, 반응 챔버는 불활성 가스로 배기 및/또는 퍼지될 수 있다. 퍼지는, 예를 들어 약 1 내지 30초 이상일 수 있다. 퍼지한다는 것은, 예컨대 진공 펌프로 챔버를 배기하고/배기하거나 반응 챔버 내부의 가스를 불활성 가스로 대체함으로써 기상 촉매 및/또는 기상 부산물을 반응 챔버로부터 제거하는 것을 의미한다. 일부 구현예에서, 기상 촉매는, 기상 촉매를 포함한 반응 공간으로부터 기판을 이동시킴으로써, 기판 표면으로부터 제거된다.
일부 구현예에서, 선택적 촉매 증착의 온도는, 예를 들어 약 50°C 내지 약 500°C, 또는 약 100°C 내지 약 300°C일 수 있다. 일부 구현예에서, 증착 온도는 약 50°C 내지 약 400°C이다. 일부 구현예에서, 증착 온도는 약 100°C 초과이고, 촉매 화학 물질은 TMA와 같은 알킬알루미늄 화합물이다. 일부 구현예에서, 알킬알루미늄 화합물은 디메틸알루미늄 이소프로폭시드(DMAI)이고, 증착 온도는 약 100°C 내지 약 400°C, 약 100 내지 약 200, 약 200°C 내지 약 400°C, 또는 약 250°C 내지 약 350°C이다. 일부 구현예에서, 알킬알루미늄 화합물은 디메틸알루미늄 이소프로폭시드(DMAI)이고, 증착 온도는 약 150°C이다. 일부 구현예에서, 알킬알루미늄 화합물은 디메틸알루미늄 이소프로폭시드(DMAI)이고, 증착 온도는 약 300°C이다. 일부 구현예에서, 촉매 화학 물질은 TEB와 같은 알킬보론 화합물이고, 증착 온도는 약 50°C 내지 약 400°C, 약 100°C 내지 약 350°C, 또는 약 100°C 내지 약 300°C이다. 일부 구현예에서, 촉매 화학 물질은 알킬보론 화합물이고, 온도는 약 100°C 초과이다. 일부 구현예에서, 증착 온도는 약 300°C 초과이고, 촉매 화학 물질은 TEB이다. 선택적 촉매 증착의 온도는, 단일 증착 온도를 사용하는 데 사용되는 패시베이션 층에 따라 선택될 수 있다.
일부 구현예에서, 촉매는, 기판을 금속 전구체 및 산소 반응물과 접촉시킴으로써 선택적으로 증착되는 금속 화합물을 포함한다. 일부 구현예에서, 촉매는 금속 산화물을 포함한다. 일부 구현예에서, 금속 화합물은 ALD 공정에 의해 선택적으로 증착된다. 일부 구현예에서, 기판은 1회, 2회 이상의 증착 사이클에서 동시에 또는 순차적으로 제1 금속 전구체, 및 산소를 포함한 제2 반응물과 접촉한다. 일부 구현예에서, 증착 공정은, 기판이 제1 금속 전구체 및 제2 반응물과 교대 순차적으로 접촉하는, 복수의 증착 사이클을 포함한다.
일부 구현예에서, 제1 금속 전구체는 소수성 루이스산이다. 소수성 금속 반응물은 알킬, 알케닐, 환형 C3-C8 또는 방향족 기와 같은, 적어도 하나의 소수성 탄화수소 리간드를 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄일 수 있다.
일부 구현예에서, 제1 금속 전구체는 전이금속을 포함한다. 일부 구현예에서, 제1 전구체는 Ru와 같은 귀금속을 포함하지 않는다.
일부 구현예에서, 제1 금속 전구체는 C1-C4 알킬 리간드와 같은 적어도 하나의 알킬 리간드를 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 유기금속 또는 금속유기 화합물을 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 적어도 하나의 시클로펜타디에닐(Cp) 리간드를 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 포름아미디네이트 또는 아미디네이트 화합물을 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 베타-디케토네이트 화합물을 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 디알킬아미노 화합물과 같은 알킬아미노 화합물을 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 -NMe2, -NEt2 또는 -NEtMe와 같은 알킬아미노 리간드를 포함할 수 있다.
일부 구현예에서, 제1 금속 전구체는 마그네슘을 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 마그네슘을 포함한 유기금속 또는 금속유기 화합물일 수 있다. 예를 들어, 일부 구현예에서, 제1 금속 전구체는 Mg(Cp)2 또는 그의 유도체를 포함할 수 있다.
일부 구현예에서, 제1 금속 전구체는 란타늄을 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 란타늄을 포함한 유기금속 화합물일 수 있다. 일부 구현예에서, 제1 금속 전구체는 란타늄 포름아미디네이트(La(FAMD)3)를 포함할 수 있다.
일부 구현예에서, 제1 금속 전구체는 하프늄을 포함할 수 있다. 일부 구현예에서, 제1 금속 전구체는 하프늄을 포함한 유기금속 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 금속 전구체는 테트라키스(에틸메틸아미노)하프늄(TEMAH, Hf(NEtMe)4) 또는 이의 유도체와 같은 알킬아미노 하프늄 화합물을 포함할 수 있다.
일부 구현예에서, 제1 금속 전구체는 다음 화학식을 갖는다:
MgL2 (I)
여기서 Mg는 알루미늄이고, L 각각은 탄화수소기 이도록 독립적으로 선택된다. 일부 구현예에서, L 각각은 선형, 분지형, 환형 알킬 또는 불포화 탄화수소기, 예컨대 알케닐기, 알키닐기, 방향족기, 시클로펜타디에닐기, 페닐기, 시클로옥타디에닐기, 또는 시클로헵타트리에닐기일 수 있다. 일부 구현예에서, 하나의 L 또는 두 개의 L 모두 시클로펜타디에닐기일 수 있다. 일부 구현예에서, 하나의 L 또는 두 개의 L 모두 두 자리 리간드, 예컨대 베타디케토네이트, 구아니디네이트 또는 아미디네이트일 수 있다. 일부 구현예에서, 베타디케토네이트 리간드는 아세틸아세토네이트 또는 2,2,6,6-테트라메틸-3,5-헵탄디오나토(THD) 리간드일 수 있다.
일부 구현예에서, 제1 금속 전구체는 다음 화학식을 갖는 시클로펜타디에닐 화합물 또는 그의 유도체, 예컨대 알킬치환된 시클로펜타디에닐 화합물이다:
Mg(R1R2R3R4R5Cp)2 (II)
여기서, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 수소 또는 치환된 또는 비치환된 알킬기이도록 독립적으로 선택될 수 있다. 일부 구현예에서, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 수소 또는 선형의 또는 분지형의 C1-C5 알킬기이도록 독립적으로 선택될 수 있다. 일부 구현예에서, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 수소 또는 C1-C3 알킬기, 예컨대 메틸기, 에틸기, n-프로필기 또는 i-프로필기이도록 독립적으로 선택될 수 있다. 일부 구현예에서, 제1 전구체는 Mg(Cp)2일 수 있다.
일부 구현예에서, 제1 금속 전구체는 시클로펜타디에닐("Cp") 리간드와 같은 하나 이상의 리간드를 포함한다. 이들 제1 전구체 화합물은 다음 화합물로 이루어진 군으로부터 선택될 수 있다:
(Cp)xLa (III);
(Cp)xLyLa (IV);
(Cp)xWnLa (V);
(CP)xLyWnLa (VI);
La는 란타늄이고, Cp는 시클로펜타디에닐기 또는 시클로옥타디에닐기여서, 화학식 I-IV의 Cp 기들은 서로 동일하거나 서로 상이하고; x는 Cp 리간드들의 수를 나타내고 1에서 La의 산화상태까지의 정수이고; 시클로펜타디엔은 보통 Cod의 약어로 표시되지만, 여기서 표시는 시클로펜타디에닐과 시클로옥타디에닐 모두에 대한 하나의 공통 약어의 사용에 의해 단순화되고;
Ly는 그의 원자들 중 하나 이상으로부터 금속에 결합된 중성의 부가물 리간드이고 y는 결합된 리간드들의 수를 나타내고;
W는 Cp보다 1가 적은 일부 다른 리간드이고, n은 리간드들의 수를 나타낸다. 일부 구현예에서, W는 아미디네이트 또는 포름아미디네이트이다. 일부 구현예에서, W는 베타-디케토네이트 또는 그의 해당 황 또는 질소 화합물, 할라이드, 아미드, 알콕사이드, 카복실레이트 또는 쉬프(Schiff)의 염기이다.
화학식 I-IV에서, 시클로펜타디에닐기 및/또는 시클로옥타디에닐기는 동일 분자에 있을 수 있어서, Si, N, P, Se, S 또는 B로부터 선택된 헤테로원자를 포함할 수 있는 치환 또는 비치환 C1-C6 사슬로 이루어진 두 개의 Cp-기 사이에 가교가 있다.
일부 구현예에서, L은 다음으로부터 독립적으로 선택된다:
(i) 수소,
(ii) 산소를 포함하는 탄화수소,
(iii) 질소를 포함하는 탄화수소,
(iv) 황을 포함하는 탄화수소,
(v) 인을 포함하는 탄화수소,
(vi) 비소를 포함하는 탄화수소,
(vii) 셀레늄을 포함하는 탄화수소, 및/또는
(viii) 텔루륨을 포함하는 탄화수소.
일부 구현예에서, L은 다음으로부터 독립적으로 선택된다:
(a) 아민 또는 폴리아민,
(b) 비피리딘,
(c) 화학 다이아그램에 따른 리간드:
Figure pat00001
여기서 G는 ―O―, ―S―, 또는 ―NR1이고, R1 은 독립적으로 선택된 수소 또는 치환 또는 비치환된, 환형의, 선형의 또는 분지형의 알킬기, 알케닐기, 아릴기, 알킬아릴기, 아릴알킬기, 알콕시기, 티오기, 시아노기 또는 실릴기이다. R1 에서 환형 또는 방향족 고리는 헤테로원자를 포함할 수 있다. 수소 또는 R1-형 치환체는 화학식 V의 탄소 원자들에 부착될 수도 있고, 혹은
(d) 에테르 또는 티오에테르
화학식 I~IV의 시클로펜타디에닐기 또는 시클로옥타디에닐기, Cp는 다음 형태를 가진다:
Cp'RmHa-m (VII)
여기서, a가 8일 경우에 m은 0 내지 8의 정수이고, a가 5일 경우에 m은 0 내지 5의 정수이다.
Cp'는 융합되거나 단리된 시클로펜타디에닐 또는 시클로옥타디에닐이고,
R은 1~6개의 탄소 원자를 포함하는 독립적으로 선택된 탄화수소 단편, 예컨대 C1-C6 탄화수소이다.
일부 구현예에서, 각각의 R 리간드는 서로 동일할 수 있고 혹은 각각의 R 리간드는 서로 상이할 수 있다. 즉, 각각의 R 리간드는 독립적으로 선택될 수 있다. 일부 구현예에서, R은 치환형 또는 비치환형, 환형, 선형 또는 분지형 알킬 알케닐기, 아릴기, 알킬아릴기, 아릴알킬기, 알콕시기, 티오기, 아미노기, 시아노기 또는 실릴기일 수 있다. 치환체의 환형 또는 방향족 고리는 헤테로원자를 포함할 수 있다. 치환체들의 예로는 메틸기, 에틸기, 프로필기 및 이소프로필기가 있다.
화학식 II와 IV에 아차앵 중성의 부가물 리간드 L은, 독립적으로 선택된 에테르, 아민 또는 용매 분자, 예컨대 하나의 원자를 가진 금속과 결합을 형성하는 테트라하이드로푸란일 수 있다. 여러 개의 원자를 가진 금속과 결합을 형성하는 적합한 중성 부가물 리간드의 예로는 폴리에테르와 폴리아민이 있다.
일부 구현예에서, 제1 금속 전구체는 적어도 하나의 시클로펜타디에닐 리간드를 포함할 수 있고 식 VIII로 표시될 수 있다:
(R1R2R3R4R5Cp)x―MR0 z―(R6)y (VIII)
여기서 M은 Mg, Sr, Ba, Sc, Y 및 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0 기 각각, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1-C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 R6은 다음으로부터 독립적으로 선택된다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1-C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기;
iv. 헤테로고리기;
v. NR1R2; 및
여기서 x와 y 모두 ≥1이고 z는 ≥0이다.
일부 구현예에서, 시클로펜타디에닐 화합물을 포함한 제1 금속 전구체는, 화학식 IX로 도시된 바와 같이 질소를 통해 금속과 결합되는 적어도 하나의 리간드를 포함한다:
(R1R2R3R4R5Cp)x―MR0 z―(NR1R2)y (IX)
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0 기 각각, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 다음으로부터 독립적으로 선택된다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1-C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 시클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z는 ≥0이다.
식 IX에서, 알킬기, 알케닐기 및 알키닐기는 1 내지 6개의 탄소 원자를 갖는 임의의 선형 또는 분지형 알킬기, 알케닐기 및 알키닐기로부터 선택될 수 있다. 이러한 알킬기의 예로는 메틸; 에틸; n- 및 i-프로필-; n-, i- 및 t-부틸-; n- 및 이소아밀; n- 및 이소펜틸; n- 및 이소헥실; 및 2,3-메틸-2-부틸이 있다. 일부 구현예에서, 알킬기가 사용된다. 다른 구현예에서, 대응하는 불포화도를 갖는 대응 기들을 포함하는 C1-6, 알케닐 및 알키닐기가 사용될 수 있다.
일부 구현예에서, 제1 금속 전구체는 적어도 하나의 시클로펜타디에닐 리간드 및 적어도 하나의 킬레이트 리간드, 예컨대 두자리 리간드를 갖는 화합물이다. 일부 구현예에서, 이 화합물은 식 X, (R1R2R3R4R5Cp)x―MR0 z―(NR1NR2R)y로 다음과 같이 나타낸다:
Figure pat00002
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
R은 독립적으로 치환 또는 비치환된 임의의 선형 및 분지형 C1-C6 알킬기, 알케닐기 또는 알키닐기이고, R은 알킬, 알케닐 및 알키닐기의 임의 지점에서 두 개의 가교 질소 원자에 결합될 수 있다.
여기서 R0 기 각각, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1-C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 시클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z는 ≥0이다.
일부 다른 구현예에서, 제1 금속 전구체는 화학식 XI, (R1R2R3R4R5Cp)x―MR0 z―[(NR1NR2)CNR3]y로 다음과 같이 나타낼 수 있다:
Figure pat00003
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0 기 각각, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1-C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 시클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z는 ≥0이다.
추가 구현예에서, 제1 금속 전구체는 화학식 XII, (R1R2R3R4R5Cp)x―MR0 z―[(NR1NR2)CNR3R4]y로 다음과 같이 나타낼 수 있다:
Figure pat00004
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0 기 각각, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, 및 R5 기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1-C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 시클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z는 ≥0이다.
일부 구현예에서, 화학식 VIII-XII로 나타낸 바와 같이 제1 전구체는 R0, R1, R2, R3, R4, R5, 및 R6을 포함할 수 있고, R0 기 각각, R1 기 각각, R2 기 각각, R3 기 각각, R4 기 각각, R5 기 각각, 및 R6 기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1-C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 시클로펜타디에닐기, 알킬아릴기와 같은 탄소고리기; 및
iv. 헤테로고리기.
선택적으로, 설명된 바와 같이, 제1 금속 전구체는 개질된 시클로펜타디에닐기를 포함할 수 있다. 일부 구현예에서, 개질된 시클로펜타디에닐기는 Me5Cp, MeCp, EtCp, 및 Me3SiCp로 구성되는 군으로부터 선택된다. 추가 구현예에서, 제1 금속 전구체는 트리이소프로필구아니디네이트 리간드와 같은 음이온 또는 2가 음이온을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 산소를 포함할 수 있고 본원에서 산소 전구체, 산소 반응물, 산소 함유 전구체, 또는 산소 함유 반응물로서 지칭될 수 있다. 일부 구현예에서, 제2 반응물은 산소 분자(O2)를 포함한다. 일부 구현예에서, 제2 반응물은 O2 이외의 산소를 포함한 화합물을 포함하지 않을 수 있다. 일부 구현예에서, 제2 반응물은 O3 또는 H2O를 포함하지 않는다. 일부 구현예에서, 제2 전구체는 플라즈마, 예를 들어 산소 플라즈마를 포함하지 않는다. 일부 구현예에서, 제2 반응물은 N2, He 또는 Ar과 같은 불활성 가스를 공급받거나 이러한 불활성 가스와 혼합된다.
일부 구현예에서, 제2 반응물은 산소 분자 및, 불활성 가스 이외의 약 50%, 25%, 15%, 10%, 5%, 1%, 또는 0.1% 미만의 불순물을 포함한다.
일부 구현예에서, 선택적 촉매 증착 공정은 인시츄로, 즉 동일한 반응 챔버에서, 이전 패시베이션 및/또는 후속 증착으로서, 예를 들어 실릴화된 표면에 대해 실릴화되지 않은 표면 상에 실리콘 산화물의 후속 선택적 증착으로서 수행될 수 있다. 그러나, 일부 구현예에서, 선택적 촉매 증착은 하나 이상의 후속 처리 단계와는 별도의 반응 챔버에서, 예를 들어 클러스터 툴의 일부인 하나의 챔버에서 수행될 수 있다.
일부 구현예에서, 기판은 선택적 촉매 공정을 시작할 때 또는 이전에 전처리 되거나 세정될 수 있다.
금속 표면에 대해 촉매화된 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착
유전체 및/또는 금속 표면의 패시베이션(수행된 경우) 그리고 유전체 표면 상에 촉매의 선택적 증착(수행된 경우)에 이어서, 기판의 금속 표면에 대해 유전체 표면 상에 실리콘 산화물을 선택적으로 증착할 수 있다. 일부 구현예에서, 실리콘 산화물은, 기판을 실리콘 반응물, 예컨대 실란올과 접촉시킴으로써, 금속 표면 상에 선택적으로 증착된다(예를 들어, 도 2e 참조). 일부 구현예에서, 기판 표면은 실리콘 반응물 및 H2O와 같은 산소 반응물과 접촉한다. 실리콘 산화물의 형성은, 유전체 표면 상의 촉매의 존재에 의해, 또는 일부 구현예에서 별도의 촉매가 사용되지 않는 경우에 표면 자체에 의해 촉매화 된다. 일부 구현예에서, 촉매는 금속 촉매이다. 일부 구현예들에서, 증착된 실리콘 산화물의 금속 함량은 약 3 at% 미만, 약 2.5 at% 미만, 약 1.5 at% 미만, 또는 심지어 약 1 at% 미만이다. 일부 구현예에서, 금속 촉매는 알루미늄을 포함한다. 일부 구현예에서, 증착된 실리콘 산화물의 알루미늄 함량은 약 3 at% 미만, 약 2.5 at% 미만, 약 1.5 at% 미만, 또는 심지어 약 1 at% 미만이다.
하나 이상의 실란올이 실리콘 반응물로서 사용될 수 있는데, 예컨대 알콕시실란올 또는 알콕시실란디올이다. 일부 구현예에서, 실리콘 반응물은 트리스(터트-알콕시)실란올, 디(알콕시)알킬실란올, 디(알콕시)실란디올 또는 비스(터트-알콕시)실란디올을 하나 이상 포함할 수 있다. 일부 구현예에서, 실란올은 트리스(터트-부톡시)실란올(TBS), 트리스(이소프로폭시)실란올(TIS), 및 트리스(터트-펜톡시)실란올(TPS) 중 하나 이상으로부터 선택될 수 있다. 실란올은, 하나 이상의 히드록실(OH) 기에 결합된 실리콘을 포함하는 화합물이다. 일부 구현예에서, 실란올은, 실리콘 원자에 직접 결합된 하나 이상의 OH-기를 포함한다. 실란올 화합물은, 제한 없이 알콕시실란올, 알콕시알킬실란올, 및 알콕시실란디올을 포함한다. 일부 구현예에서, 실리콘 전구체는 TPS를 포함한다. 일부 구현예에서, 실리콘 공급원은 디(알콕시)실란디올이다.
일부 구현예에서, 촉매가 유전체 표면 상에 증착된 후에 하나의 실란올 펄스만이 제공된다. 일부 구현예에서, 단일 실란올 펄스가 사용되어 기판 상의 유전체 표면의 상단 표면 상에서 5 옹스트롬 초과로 측정된 두께를 갖는 실리콘디옥사이드 막을 증착한다. 전술한 바와 같이, 일부 구현예에서, 기판은 하나 이상의 실리콘 산화물 증착 서브 사이클에서 촉매 및 실란올과 접촉할 수 있다. 서브 사이클은, 원하는 두께의 실리콘 산화물 막이 유전체 표면 위에 선택적으로 형성될 때까지 반복될 수 있다. 일부 구현예에서, 단일 서브 사이클은 원하는 두께의 실리콘디옥사이드 막을 수득하는 데 필요한 전부일 수 있다. 다른 구현예에서, 단계는 2, 3, 4, 5, 6, 7, 8, 9, 10회 이상 반복될 수 있다.
일부 구현예에서, 각각의 증착 사이클은 하나 이상의 실란올 펄스를 제공한다. 예를 들어, 촉매 펄스 다음에 두 개, 세 개 또는 그 이상의 실란올 펄스가 이어질 수 있다. 일부 구현예에서, 촉매 펄스 다음에 두 개의 실란올 펄스가 이어진다. 일부 구현예에서, 단일 촉매 펄스가 이어서 적어도 10, 예를 들어, 15 또는 20개의 실란올 펄스가 이어진다. 각각의 실란올 펄스는 퍼지 단계에 의해 분리될 수 있다. 다른 구현예에서, 각각의 실란올 펄스는 중간 퍼지 단계 없이 소정 시간 지연 후에 제공된다.
일반적으로 촉매의 제공으로 시작하는 것으로 설명되었지만, 각각의 실리콘 산화물 증착 서브사이클은 어느 반응물로도 시작할 수 있다. 그러나, 당업자에 의해 인식되는 바와 같이, 제1 서브 사이클이 실란올 반응물로 시작하는 경우에, 증착은 제2 증착 사이클까지 시작되지 않을 수 있다.
촉매 관점에서, 표면 포화는 이용 가능한 모든 반응 부위의 반응물 점유를 보장하므로(예, 물리적 크기 또는 "입체 장애" 제약 조건을 받음), 따라서 우수한 단차 피복도를 보장한다. 그러나, 일부 구현예에서, 촉매는 비포화 또는 과소포화 용량으로 제공될 수 있다. 따라서, 일부 구현예에서, 촉매의 투여량은 소정량의 촉매 및 소정량의 실리콘디옥사이드의 증착을 제공하기 위해 계량된다.
실란올 반응물 관점에서, 일부 구현예에서, 실란올의 포화 펄스가 제공된다. 그러나, 실리콘디옥사이드의 성장 속도는, 부분적으로 성장 막을 통한 전구체의 확산에 의존하기 때문에, 성장 속도는, 예를 들어 전구체 투여량, 퍼지 시간 및/또는 온도를 제어함으로써 제어될 수 있다. 따라서, 일부 구현예에서, 실란올의 비포화 투여량이 제공될 수 있다. 일부 구현예에서, 실란올 반응물의 투여량 및/또는 노출 시간은 주어진 반응 사이클에서 특정 두께 및/또는 특정 깊이로 실리콘 디옥사이드를 제공하도록 제한될 수 있다.
일부 구현예에서, 실리콘 디옥사이드 박막은, (수용할 수 있는 임의의 처리 관점에서) 유전체 표면과 반응할 수 있는 촉매를 선택하고 하나 이상의 실리콘 디옥사이드 증착 사이클을 포함한 증착 공정을 수행함으로써, 금속 표면에 대해 기판의 유전체 표면 상에 선택적으로 형성되며, 각각의 실리콘 디옥사이드 증착 사이클은,
금속 촉매를 포함한 제1 기상 반응물 펄스를 상기 반응 챔버에 제공하는 단계; 및
상기 반응 챔버로부터 과량의 촉매를 제거하는 단계;
실란올을 포함한 제2 기상 반응물 펄스를 상기 반응 챔버에 제공하는 단계; 및
과잉의 제2 반응물 및 반응 부산물이 존재하면, 상기 반응 챔버로부터 제거하는 단계를 포함한다.
일부 구현예에서, 실리콘 산화물 박막은, 하나 이상의 금속 또는 금속성 표면, 예컨대 구리, 코발트, 티타늄 질화물 또는 텅스텐 표면에 대해 하나 이상의 유전체 표면 상에 선택적으로 증착된다.
막의 두께는 특정 상황에 따라 조절될 수 있다. 일부 구현예에서, 수 옹스트롬에서 수 나노미터 범위의 실리콘 디옥사이드막이 증착된다. 일부 구현예에서, 약 2 nm 미만의 실리콘 디옥사이드 박막이 증착된다. 일부 구현예에서, 약 3 nm 미만의 실리콘 디옥사이드 박막이 증착된다. 일부 구현예에서, 촉매 및/또는 실란올은 약 2 nm 미만 또는 약 3 nm 미만의 막의 증착을 얻기 위해 과소투여된다. 박막은, 하나의 증착 사이클 또는 다수의 증착 사이클에서 증착될 수 있다.
실리콘 산화물 막의 증착을 시작하기 전에, 기판은 전형적으로 적절한 성장 온도로 가열된다. 일부 구현예에서, 실리콘 디옥사이드 박막의 성장 온도는 약 500°C 미만, 약 400°C 미만, 약 300°C 미만, 약 200°C 미만, 약 150°C 미만, 또는 심지어 약 125°C 미만이다. 온도는 일반적으로 촉매가 분해되지 않도록 한다. 일부 구현예에서, 증착 공정은 약 100°C 초과의 온도에서, 예를 들어, 트리메틸 알루미늄(TMA)을 촉매로서 사용해 수행될 수 있다.
일부 구현예에서, 반응물의 펄스 시간은 약 0.1 내지 약 10초일 수 있고, 반응물 펄스 사이의 퍼지 시간은 또한 약 0.1 내지 약 10초일 수 있다. 일부 구현예에서, 반응물에 대한 펄스 시간은 약 10초 초과일 수 있다. 일부 구현예에서, 펄스 시간은 약 0.1 내지 약 15초 이상, 또는 약 0.1 내지 약 20초 이상일 수 있다. 일부 구현예에서, 펄스 시간은 약 10 내지 약 20초 이상일 수 있다. 일부 구현예에서, 반응물 펄스 사이의 퍼지 시간은 약 10초, 예컨대 약 15초 또는 약 20초보다 더 길 수 있다. 일부 구현예에서, 퍼지 시간은 약 0.1 내지 약 15초 이상 또는 약 0.1 내지 약 20초 이상일 수 있다. 일부 구현예에서, 퍼지 시간은 약 10 내지 약 20초 이상일 수 있다.
반응 챔버 내 압력은 일반적으로 약 0.1 밀리토르 내지 약 5 토르, 보다 바람직하게는 약 0.1 밀리토르 내지 약 3 토르, 가장 바람직하게는 약 0.2 밀리토르 내지 약 3 토르이다. 그러나, 당업자에 의해 쉽게 결정될 수 있는 바와 같이, 일부의 경우 압력은 이러한 범위보다 높거나 낮을 것이다.
일 구현예에서, 실리콘 산화물 증착 서브 사이클에서, 실리콘 디옥사이드, 예를 들어 SiO2와 같은 실리콘 산화물은 약 150°C의 온도에서 패시베이션된 금속 표면에 대해 기판의 유전체 표면 상에 증착된다. 트리메틸 알루미늄(TMA)를 150 ms 동안 반응 챔버 내로 펄스화한 다음, 3초 동안 퍼지한다. 그런 다음, TPS를 100초 동안 반응 챔버 내로 펄스화한 다음, 90초 퍼지한다.
증착후 처리
실리콘 산화물의 선택적 증착에 이어서, 기판은 전술한 바와 같이, 금속 표면으로부터 폴리머 패시베이션 층을 제거하기 위한 증착후 세정 단계를 거칠 수 있다(예를 들어, 도 2f를 참조). 일부 구현예에서, 세정 단계는 에칭을 포함할 수 있다. 일부 구현예에서, 세정 단계는 플라즈마 에칭을 포함할 수 있다. 일부 구현예에서, 세정 단계는 H2 플라즈마 처리를 포함할 수 있다. 일부 구현예에서, 세정 단계는 약 실온 내지 약 400℃의 온도에서 수행된다. 일부 구현예에서, 약 25 내지 약 250 W의 플라즈마 전력은, 흐르는 H2, 예를 들어 약 10 내지 약 500 sccm의 유량에서 플라즈마를 생성하기 위해 사용될 수 있다. 실리콘 산화물 층 증착 후의 세정 시간은, 일부 구현예에서, 예를 들어 약 0.1 내지 약 600초 이상일 수 있다.
일부 구현예에서, 실리콘 산화물 박막은 하나 이상의 패시베이션된 금속 표면에 대해 3차원 구조의 유전체 표면 상에 선택적으로 증착된다. 3차원 구조는, 예를 들어 비아 또는 트렌치를 포함할 수 있다. 일부 구현예에서, 금속 표면은 실리콘 산화물 막을 증착하기 전에 유전체 표면 상에 선택적으로 패시베이션되고 알루미늄 촉매가 증착될 수 있다. 일부 구현예에서, 증착된 실리콘 산화물 막의 알루미늄 함량은 약 3 at% 미만, 약 2.5 at% 미만, 약 1.5 at% 미만, 또는 심지어 약 1 at% 미만이다.
선택도
선택적 패시베이션 및/또는 선택적 증착은 완전히 선택적이거나 부분적으로 선택적일 수 있다. 부분적인 선택 공정 다음에, 제2 표면 위로부터 증착된 재료 모두를 제거하지 않으면서 하나의 표면 위로부터 증착된 재료 일부 또는 모두를 제거하는 증착후 에칭이 따를 수 있어 완전히 선택적인 층의 결과를 갖는다. 따라서, 일부 구현예에서, 선택적 증착은 원하는 이점을 얻기 위해 완전히 선택적일 필요는 없다.
표면(B)로 지칭되는 제2 표면에 대해 표면(A)로 본원에 지칭된 제1 표면 상의 증착(또는 패시베이션)의 선택도는, [(표면(A) 상의 증착)-(표면(B) 상의 증착)]/(표면(A) 상의 증착)에 의해 계산된 백분율로서 주어질 수 있다. 증착은 임의의 다양한 방식으로 측정될 수 있다. 예를 들어, 증착은 증착된 재료의 측정된 두께로서 주어지거나, 증착된 재료의 측정된 양으로서 주어질 수 있다. 본원에 설명된 구현예에서, 제1 표면(A)은 제2 표면(B)에 대해 선택적으로 패시베이션될 수 있다. 패시베이션에 관해, 패시베이션이 층의 증착보다는 기판 표면의 처리로부터 초래되는 경우, 패시베이션의 양은 패시베이션제와 반응한, 기판 표면 상의 이용 가능한 반응성 부위의 측정치일 수 있다.
일부 구현예에서, (금속 또는 금속성 표면에 대해) 유전체 표면 상에 패시베이션 층을 선택적으로 형성(또는 실릴화)하기 위한 선택도는 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다.
일부 구현예에서, (유전체 표면에 대해) 금속 표면 상에 폴리머 패시베이션 층을 선택적으로 형성하기 위한 선택도는 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다.
일부 구현예에서, 폴리머 패시베이션된 금속 표면에 대해 유전체 표면 상의 촉매 증착은, 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다.
일부 구현예에서, 패시베이션되지 않는 금속 표면에 대해 유전체 표면 상의 촉매 증착은, 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다.
일부 구현예에서, (패시베이션되거나 패시베이션되지 않는 금속 표면에 대해) 촉매화된 유전체 표면 상에 실리콘 산화물 증착의 선택도는, 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다.
일부 구현예에서, 증착은 하나의 표면에서만 일어나고 다른 하나의 표면에서는 일어나지 않는다.
일부 구현예에서, 기판의 금속 또는 금속성 표면에 대해 유전체 표면을 실릴화로 패시베이션하는 것은 적어도 약 80% 선택적이다. 일부 구현예에서, 패시베이션 공정은 적어도 약 50% 선택적이다. 일부 구현예에서, 패시베이션 공정은 적어도 약 10% 선택적이다. 부분적인 선택 공정이, 다른 표면으로부터 임의의 실릴화를 제거하는 증착후 에칭에 의해 산화물 표면의 완전히 선택적인 패시베이션을 초래할 수 있음을 당업자는 이해할 것이다.
일부 구현예에서, 기판의 유전체 표면에 대해 위에 폴리머 층을 형성함으로써 금속 표면을 패시베이션하는 것은 적어도 약 80% 선택적이다. 일부 구현예에서, 패시베이션 공정은 적어도 약 50% 선택적이다. 일부 구현예에서, 패시베이션 공정은 적어도 약 10% 선택적이다. 부분적인 선택 공정이, 금속 또는 금속성 표면으로부터 임의의 폴리머를 제거하는 증착후 에칭에 의해 금속 표면의 완전히 선택적인 패시베이션을 초래할 수 있음을 당업자는 이해할 것이다.
일부 구현예에서, 기판의 패시베이션된 금속 표면에 대해 유전체 표면 상에 촉매를 증착하는 것은 적어도 약 80% 선택적이다. 일부 구현예에서, 촉매 증착 공정은 적어도 약 50% 선택적이다. 일부 구현예에서, 촉매 증착 공정은 적어도 약 10% 선택적이다. 부분적인 선택 공정이, 금속 표면으로부터 임의의 촉매를 제거하는 증착후 에칭에 의해, 유전체 표면 상의 완전 선택적인 증착을 초래할 수 있음을 당업자는 이해할 것이다.
일부 구현예에서, 기판의 폴리머 패시베이션된 금속 표면에 대해 기판의 촉매화된 유전체 표면 상에 실리콘 산화물을 증착하는 것은 적어도 약 80% 선택적이다. 일부 구현예에서, 기판의 폴리머 패시베이션된 금속 표면에 대해 기판의 촉매화된 유전체 표면 상에 실리콘 산화물을 증착하는 것은 적어도 약 50% 선택적이다. 일부 구현예에서, 기판의 폴리머 패시베이션된 금속 표면에 대해 기판의 촉매화된 유전체 표면 상에 실리콘 산화물을 증착하는 것은 적어도 약 10% 선택적이다. 부분적인 선택 공정 다음에 금속 표면 위로부터 증착된 재료 전부를 실질적으로 제거하는 증착후 에칭(또는 다른 처리)이 따를 수 있음을 당업자는 이해할 것이다. 또한, 증착후 처리는 선택적으로 증착된 층의 위치 및/또는 프로파일을 조정하는 것을 도울 수 있다.
금속 또는 금속성 표면 상에 실리콘 산화물을 선택적으로 증착
도 2a 내지 도 2f는 제2 금속 또는 금속성 표면(210)에 대한 제1 유전체 표면(220)의 선택적 패시베이션(230), 유전체 표면(220)에 대한 금속 표면(210)의 선택적 폴리머 패시베이션(240)에 이어서, 폴리머 패시베이션된 금속 표면에 대한 유전체 표면(220) 상의 실리콘 산화물(260)의 선택적 증착을 위한 구현예를 개략적으로 도시한다.
도 2a는 상이한 재료의 표면이 노출된 기판을 나타낸다. 예를 들어, 제1 표면은 실리콘 산화물계 층, 또는 자연 산화물이 위에 형성된 실리콘 표면과 같은 유전체 재료(220)를 포함하거나 이에 의해 정의될 수 있다. 제2 표면은 구리(Cu)와 같은 금속(210)을 포함하거나 이에 의해 정의될 수 있다.
도 2b는 실릴화에 의한 것과 같이, 유전체 표면(220)의 선택적 패시베이션(230) 이후에 도 2a의 기판을 나타낸다. 예를 들어, 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)와 같은 실리릴화제에 기판을 노출시킴으로써 유전체 표면(220) 상에 패시베이션 층(230)을 선택적으로 형성할 수 있다.
도 2c는 예컨대 SAM 또는 폴리이미드 층의 형성에 의해 금속 표면 상에 폴리머 패시베이션 층(240)을 선택적으로 증착한 후의 도 2b의 기판을 나타낸다.
도 2d는 금속 표면(210) 상의 폴리머 패시베이션 층(240)에 대해 유전체 표면(220) 상에 알루미늄 촉매(250)를 선택적으로 증착된 후의 도 2c의 기판을 나타낸다. 알루미늄 촉매(250)는, 기판을 알루미늄 반응물에, 예컨대 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)에 노출시킴으로써, 금속 표면(220) 상에 형성될 수 있다. 알루미늄 촉매(250)로 나타나 있지만, 다른 구현예에 다른 금속을 포함한 금속 촉매가 사용될 수 있다.
도 2e는 폴리머 패시베이션된 금속 표면에 대해 촉매화된 유전체 표면 상에 실리콘 산화물(260)이 선택적으로 증착된 후의 도 2d의 기판을 나타낸다. 일부 구현예에서, 실리콘 산화물(260)은 기판을 트리스(터트-펜톡시)실란올과 같은 실란올 반응물에 노출시킴으로써 형성된다. 실란올 반응물은, 촉매화된 유전체 표면 상의 알루미늄 원자 상에서 분해되어, 유전체 표면(220) 상에 실리콘 산화물(260)이 증착될 수 있다. 일부 구현예에서, 증착된 실리콘 산화물의 촉매로부터 알루미늄 또는 다른 금속의 함량은 약 3 at% 미만, 약 2.5 at% 미만, 약 1.5 at% 미만, 또는 심지어 약 1 at% 미만이다.
전술한 바와 같이, 폴리머 패시베이션 금속층과 같은 금속층 상에 증착된 임의의 실리콘 산화물은 에치 백 공정과 같은 증착후 처리에 의해 제거될 수 있다. 실리콘 산화물이 유전체 표면 상에 선택적으로 증착되기 때문에, 폴리머 패시베이션 표면 상에 남겨진 임의의 실리콘 산화물은 유전체 표면 상에 형성된 실리콘 산화물보다 더 얇을 것이다. 따라서, 유전체 표면 위로부터 실리콘 산화물 전부를 제거하지 않고서 금속 표면 위의 실리콘 산화물을 모두 제거하기 위해, 증착후 처리를 제어할 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면, 증착 및 에칭의 각 사이클마다 유전체 표면 상의 실리콘 산화물 재료의 두께가 증가할 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면, 유전체 표면 상의 실리콘 산화물의 전반적인 선택도가 증가할 수도 있는데, 그 이유는 증착 및 에칭의 각 사이클은 선택적 실리콘 산화물 증착의 핵생성이 잘 되지 않는 깨끗한 패시베이션 층을 남기기 때문이다. 다른 구현예에서, 금속 표면 위의 실리콘 산화물은 폴리머 패시베이션 층의 후속 제거 중에 제거될 수 있다.
도 2f는, 금속 표면(210)으로부터 폴리머 패시베이션 층(240)을 제거하기 위한 증착후 처리, 예컨대 에칭 공정 이후에 도 2e의 기판을 나타낸다. 일부 구현예에서, 에칭 공정은 기판을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 플라즈마는 산소 원자, 산소 라디컬, 산소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 수소 원자, 수소 라디컬, 수소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 귀가스 종, 예를 들어 Ar 종이나 He 종을 포함할 수도 있다. 일부 구현예에서, 플라즈마는 본질적으로 귀가스 종으로 구성될 수 있다. 일부 경우에, 플라즈마는 다른 종, 예를 들어 질소 원자, 질소 라디컬, 질소 플라즈마, 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 에칭 공정은 예를 들어 O3와 같은 산소를 포함하는 에천트에 기판을 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 기판은 약 30℃ 내지 약 500℃ 또는 약 100℃ 내지 약 400℃의 온도에서 에천트에 노출될 수 있다. 일부 구현예에서, 에천트는 하나의 연속적 펄스로 공급될 수 있거나, 다중 펄스로 공급될 수 있다. 주기적인 선택적 증착 및 제거에서 폴리머 패시베이션 층을 완전히 제거하거나 폴리머 패시베이션 층을 부분적으로 제거함에 있어서, 금속층 위로부터 임의의 잔여 금속 산화물을 리프트 오프하기 위해 폴리머 패시베이션 층의 제거를 사용할 수 있다.
열 또는 화학적 처리와 같은 추가적인 처리가, 이전 진행 단계들의 이전, 이후, 또는 그 사이에 수행될 수 있다. 예를 들어, 처리는 표면을 개질할 있거나, 공정의 다양한 단계에서 노출된 금속, 실리콘 산화물, 패시베이션 및 금속 산화물 표면의 일부를 제거할 수 있다. 일부 구현예에서, 기판은 선택적 증착 공정의 시작 또는 그 이전에 전처리되거나 세정될 수 있다. 일부 구현예에서, 기판은 전술한 바와 같이 플라즈마 세정 공정을 거칠 수 있다.
특정 구현예 및 실시예가 논의되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예 내지 대안적인 구현예 및/또는 용도 및 이들의 명백한 변형물 및 균등물 너머로 연장됨을 이해할 것이다.

Claims (28)

  1. 기판의 금속 표면에 대해 상기 기판의 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하는 방법으로서, 상기 방법은 순서대로,
    상기 금속 표면에 대해 상기 유전체 표면을 선택적으로 패시베이션하는 단계; 및
    상기 금속 표면 상에 폴리머 패시베이션 층을 선택적으로 형성하는 단계;
    상기 유전체 표면을 금속 촉매와 접촉시키는 단계; 및
    실란올을 포함한 실리콘 반응물과 상기 유전체 표면을 접촉시키는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 금속 표면은 Al, Cu, Co, Ni, W, Nb, Fe, 및 Mo 중 하나 이상을 포함하는, 방법.
  3. 제1항에 있어서, 상기 유전체 표면은 실리콘 산화물을 포함하는 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 유전체 표면을 선택적으로 패시베이션 하는 단계는, 상기 유전체 표면을 실릴화제에 접촉시키는 단계를 포함하는, 방법.
  5. 제4항에 있어서, 상기 실릴화제는 알킬아미노실란을 포함하는, 방법.
  6. 제5항에 있어서, 상기 알킬아미노실란은 화학식 (RI)3Si(NRIIRIII)을 갖되, RI는 선형 또는 분지형 C1-C5 알킬기이거나 선형 또는 분지형 C1-C4 알킬기이고, RII는 선형 또는 분지형 C1-C5 알킬기, 선형 또는 분지형 C1-C4 알킬기, 또는 수소이고, RIII은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기인, 방법.
  7. 제4항에 있어서, 상기 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함하는, 방법.
  8. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 금속 표면 상에 상기 폴리머 패시베이션 층을 선택적으로 형성한 이후 및 상기 유전체 표면을 상기 금속 촉매와 접촉시키기 이전에, 상기 유전체 표면을 플라즈마로 처리하는 단계를 추가로 포함하는 방법.
  9. 제8항에 있어서, 상기 플라즈마는 H2 플라즈마를 포함하는 방법.
  10. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 금속 촉매는, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트-부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함하는, 방법.
  11. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 금속 촉매는 Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, 또는 Ga를 포함한 금속 화합물인, 방법.
  12. 제11항에 있어서, 상기 금속 촉매는 금속 할라이드, 유기금속 화합물 또는 금속유기 화합물인, 방법.
  13. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 실리콘 반응물은 트리스(터트-부톡시)실란올(TBS), 트리스(이소프로폭시)실란올(TIS), 또는 트리스(터트-펜톡시)실란올(TPS)을 포함하는, 방법.
  14. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 금속 표면 상에 형성된 폴리머 패시베이션 층은 자기조립 단층(SAM)을 포함하는, 방법.
  15. 제14항에 있어서, 상기 SAM은 상기 기판을 티올 폴리머에 노출시킴으로써 형성되는, 방법.
  16. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 금속 표면 상에 형성된 폴리머 패시베이션 층은 폴리이미드층을 포함하는, 방법.
  17. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 폴리머 패시베이션 층이 형성된 금속 표면에 대해 상기 촉매화된 유전체 표면 상에 실리콘 산화물을 증착하는 선택도는, 약 50%를 초과하는, 방법.
  18. 기판의 금속 표면에 대해 상기 기판의 유전체 표면 상에 실리콘 산화물을 선택적으로 증착하는 방법으로서,
    상기 금속 표면 상에 폴리머 패시베이션 층을 선택적으로 형성하는 단계, 및
    상기 기판을 금속 촉매 및 실란올과 교대 순차적으로 접촉시키는 단계를 포함한 하나 이상의 실리콘 산화물 증착 사이클을 수행하는 단계를 포함하는, 방법.
  19. 제18항에 있어서, 상기 금속 표면 상에 상기 폴리머 패시베이션 층을 선택적으로 형성하기 이전에 상기 유전체 표면을 실릴화제와 접촉시키는 단계를 추가로 포함하는 방법.
  20. 제19항에 있어서, 상기 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함하는, 방법.
  21. 제18항 또는 제19항에 있어서, 상기 금속 촉매는, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트-부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함하는, 방법.
  22. 제18항 또는 제19항에 있어서, 상기 금속 촉매는 Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, 또는 Ga를 포함한 금속 화합물인, 방법.
  23. 제22항에 있어서, 상기 금속 촉매는 금속 할라이드, 유기금속 화합물 또는 금속유기 화합물인, 방법.
  24. 제18항 또는 제19항에 있어서, 상기 실란올은 트리스(터트-펜톡시)실란올(TPS)인, 방법.
  25. 제18항 또는 제 19항에 있어서, 상기 실리콘 산화물 증착 사이클은 2회 이상 연속으로 반복되는, 방법.
  26. 제18항 또는 제19항에 있어서, 상기 기판은, 적어도 하나의 실리콘 산화물 증착 사이클에서 2회 이상 상기 실란올과 접촉하는, 방법.
  27. 제18항 또는 제19항에 있어서, 상기 고분자 패시베이션 층은 폴리이미드를 포함하는, 방법.
  28. 제18항 또는 제19에 있어서, 상기 폴리머 패시베이션 층은 티올 SAM인, 방법.
KR1020210039758A 2020-03-30 2021-03-26 금속 표면에 대해 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착 KR20210122693A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063002136P 2020-03-30 2020-03-30
US63/002,136 2020-03-30

Publications (1)

Publication Number Publication Date
KR20210122693A true KR20210122693A (ko) 2021-10-12

Family

ID=77855617

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210039758A KR20210122693A (ko) 2020-03-30 2021-03-26 금속 표면에 대해 유전체 표면 상으로 실리콘 산화물 막의 선택적 증착

Country Status (4)

Country Link
US (1) US11898240B2 (ko)
KR (1) KR20210122693A (ko)
CN (1) CN113471059A (ko)
TW (1) TW202140833A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024112420A1 (en) * 2022-11-22 2024-05-30 Entegris, Inc. Methods for selective deposition of precursor materials and related devices

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202212605A (zh) * 2020-09-22 2022-04-01 荷蘭商Asm Ip私人控股有限公司 用於沉積含鍺硫族化合物之層的系統、裝置和方法
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11908701B2 (en) * 2021-04-22 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
JP2024048047A (ja) * 2022-09-27 2024-04-08 東京エレクトロン株式会社 基板処理方法
WO2024097547A1 (en) * 2022-10-31 2024-05-10 Versum Materials Us, Llc High purity alkynyl amines for selective deposition

Family Cites Families (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
AU2003290956A1 (en) 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20040266185A1 (en) 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7199029B2 (en) * 2004-10-01 2007-04-03 Sharp Laboratories Of America, Inc. Selective deposition of ZnO nanostructures on a silicon substrate using a nickel catalyst and either patterned polysilicon or silicon surface modification
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2011503876A (ja) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US7830010B2 (en) * 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CN102471882A (zh) 2009-07-31 2012-05-23 阿克佐诺贝尔化学国际公司 制备涂覆基材的方法、涂覆的基材及其用途
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
WO2012001848A1 (ja) 2010-07-01 2012-01-05 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
CN103476965B (zh) 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2叠层的方法
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9352944B2 (en) 2012-03-19 2016-05-31 Gray Manufacturing Company, Inc. Control and communication system for a wireless vehicle lift system
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9640622B2 (en) 2013-06-28 2017-05-02 Intel Corporation Selective epitaxially grown III-V materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9562188B2 (en) 2013-09-20 2017-02-07 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
KR102138719B1 (ko) 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
EP3123497A4 (en) 2014-03-28 2017-11-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9449963B2 (en) 2014-07-03 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with hard mask structure formed thereon and method for forming the same
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI717260B (zh) 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
WO2018063815A1 (en) 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI700750B (zh) 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
KR20190065962A (ko) * 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) * 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202326852A (zh) * 2021-10-29 2023-07-01 荷蘭商Asm Ip私人控股有限公司 使用熱及電漿增強方法之選擇性沉積
TW202334474A (zh) * 2021-10-29 2023-09-01 荷蘭商Asm Ip私人控股有限公司 選擇性熱沉積方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024112420A1 (en) * 2022-11-22 2024-05-30 Entegris, Inc. Methods for selective deposition of precursor materials and related devices

Also Published As

Publication number Publication date
CN113471059A (zh) 2021-10-01
US20210301392A1 (en) 2021-09-30
TW202140833A (zh) 2021-11-01
US11898240B2 (en) 2024-02-13

Similar Documents

Publication Publication Date Title
US11898240B2 (en) Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11830732B2 (en) Selective passivation and selective deposition
US11213853B2 (en) Selective deposition of metals, metal oxides, and dielectrics
KR102367720B1 (ko) 이중 선택적 퇴적
TWI718136B (zh) 形成含矽膜之組成物及其使用方法
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
JP2006522225A (ja) 窒化ハフニウム堆積の方法
JP2020172704A (ja) 金属表面上の金属酸化物の選択的堆積
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US20230140812A1 (en) Selective thermal deposition method
KR20230062781A (ko) 열 및 플라즈마 강화 방법을 사용한 선택적 증착
US20210358745A1 (en) Selective passivation and selective deposition
US11289328B2 (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
KR20230062782A (ko) 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착
TW202311556A (zh) 選擇性鈍化及選擇性沉積

Legal Events

Date Code Title Description
A201 Request for examination