US20210301392A1 - Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces - Google Patents

Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces Download PDF

Info

Publication number
US20210301392A1
US20210301392A1 US17/216,466 US202117216466A US2021301392A1 US 20210301392 A1 US20210301392 A1 US 20210301392A1 US 202117216466 A US202117216466 A US 202117216466A US 2021301392 A1 US2021301392 A1 US 2021301392A1
Authority
US
United States
Prior art keywords
metal
deposition
catalyst
silicon oxide
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/216,466
Other versions
US11898240B2 (en
Inventor
Andrea Illiberi
Giuseppe Alessio Verni
Shaoren Deng
Daniele Chiappe
Eva Tois
Marko Tuominen
Michael Givens
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to US17/216,466 priority Critical patent/US11898240B2/en
Assigned to ASM IP HOLDING B.V. reassignment ASM IP HOLDING B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Deng, Shaoren, TUOMINEN, MARKO, ILLIBERI, ANDRE, Alessio Verni, Giuseppe, CHIAPPE, DANIELE, GIVENS, MICHAEL, TOIS, EVA
Publication of US20210301392A1 publication Critical patent/US20210301392A1/en
Application granted granted Critical
Publication of US11898240B2 publication Critical patent/US11898240B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J21/00Catalysts comprising the elements, oxides, or hydroxides of magnesium, boron, aluminium, carbon, silicon, titanium, zirconium, or hafnium
    • B01J21/02Boron or aluminium; Oxides or hydroxides thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/02Impregnation, coating or precipitation
    • B01J37/0215Coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1212Zeolites, glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1291Process of deposition of the inorganic material by heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/73Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals characterised by the process
    • C23C22/77Controlling or regulating of the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/82After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase

Definitions

  • the present disclosure relates generally to selective deposition of silicon oxide on a first dielectric surface relative to a second metal or metallic surface of a substrate.
  • Patterning could be simplified by selective deposition, which has received increasing interest among semiconductor manufacturers. Selective deposition would be highly beneficial in various ways. Significantly, it could allow a decrease in lithography steps, reducing the cost of processing. Selective deposition could also enable enhanced scaling in narrow structures.
  • Thin films comprising silicon dioxide are used in many different applications in microelectronic devices, for example, as dielectric materials.
  • Silicon dioxide is one of the most commonly used dielectric materials in silicon microelectronic devices.
  • methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate comprise, in order: selectively passivating the dielectric surface relative to the metal surface; selectively forming a polymer passivation layer on the metal surface; contacting the dielectric surface with a metal catalyst; and contacting the dielectric surface with a silicon reactant comprising a silanol
  • the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, and Mo.
  • the dielectric surface comprises silicon oxide.
  • selectively passivating the dielectric surface comprises contacting the dielectric surface with a silylating agent.
  • the silylating agent comprises an alkylaminosilane.
  • the alkylaminosilane has the formula (R I ) 3 Si(NR II R III ), wherein R I is a linear or branched C 1 -C 5 alkyl group or a linear or branched C 1 -C 4 alkyl group, R II is a linear or branched C 1 -C 5 alkyl group, a linear or branched C 1 -C 4 alkyl group, or hydrogen, and R III is a linear or branched C 1 -C 5 alkyl group or a linear or branched C 1 -C 4 alkyl group.
  • the silylating agent comprises allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • TMS-A allyltrimethylsilane
  • TMS-Cl chlorotrimethylsilane
  • TMS-Im N-(trimethyl silyl)imidazole
  • OTCS octadecyltrichlorosilane
  • HMDS hexamethyldisilazane
  • TMSDMA N-(trimethylsilyl)dimethylamine
  • methods of selectively depositing silicon oxide on the dielectric surface of the substrate relative to the metal surface of the substrate further comprise treating the dielectric surface with plasma after selectively forming the polymer passivation layer on the metal surface and prior to contacting the dielectric surface with the metal catalyst.
  • the plasma comprises H 2 plasma.
  • the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl 3 ), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • TMA trimethyl aluminum
  • AlCl 3 aluminum trichloride
  • DMAI dimethylaluminum isopropoxide
  • TTBA tris(tert-butyl)aluminum
  • TIPA tris(isopropoxide)aluminum
  • TIPA triethyl aluminum
  • the metal catalyst comprises a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga.
  • the metal catalyst is a metal halide, organometallic compound, or metalorganic compound.
  • the silicon reactant comprises tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), or tris(tert-pentoxy)silanol (TPS).
  • the polymer passivation layer comprises a self-assembled monolayer (SAM).
  • SAM self-assembled monolayer
  • a SAM is formed by exposing the substrate to a thiol polymer.
  • the polymer passivation layer comprises a polyimide layer.
  • the selectivity of deposition of silicon oxide on the catalyzed dielectric surface relative to the passivated metal surface is greater than about 50%.
  • methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate comprise: selectively forming a polymer passivation layer on the metal surface, and conducting one or more silicon oxide deposition cycles comprising alternately and sequentially contacting the substrate with a metal catalyst and a silanol.
  • the methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate additionally comprise contacting the dielectric surface with a silylating agent prior to selectively forming the polymer passivation layer on the metal surface.
  • the silicon oxide deposition cycle is repeated two or more times in a row. In some embodiments, the substrate is contacted with the silanol two or more times in at least one silicon oxide deposition cycle.
  • the silylating agent comprises alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • TMS-A alyltrimethylsilane
  • TMS-Cl chlorotrimethylsilane
  • TMS-Im N-(trimethylsilyl)imidazole
  • OTCS octadecyltrichlorosilane
  • HMDS hexamethyldisilazane
  • TMSDMA N-(trimethylsilyl)dimethylamine
  • the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl 3 ), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • TMA trimethyl aluminum
  • AlCl 3 aluminum trichloride
  • DMAI dimethylaluminum isopropoxide
  • TTBA tris(tert-butyl)aluminum
  • TIPA tris(isopropoxide)aluminum
  • TIPA triethyl aluminum
  • the metal catalyst is a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga.
  • the metal catalyst is a metal halide, organometallic compound or metalorganic compound.
  • the silane is tris(tert-pentoxy)silanol (TPS).
  • the polymer passivation layer comprises a polyimide layer. In some embodiments, the polymer passivation layer is a thiol SAM.
  • FIG. 1 is a flow chart illustrating a deposition process for selectively depositing silicon oxide on a dielectric surface relative to a metal surface.
  • FIG. 2A is a schematic cross section of a portion of a substrate having first dielectric surface and a second adjacent metal surface.
  • FIG. 2B is a schematic cross section of the substrate of FIG. 2A after selective treatment of the dielectric surface.
  • FIG. 2C is a schematic cross section of the substrate of FIG. 2B after selective passivation of the metal surface.
  • FIG. 2D is a schematic cross section of the substrate of FIG. 2C after selective deposition of an aluminum catalyst on the dielectric surface.
  • FIG. 2E is a schematic cross section of the substrate of FIG. 2D after selective deposition of silicon oxide on the dielectric surface.
  • FIG. 2F is a schematic cross section of the substrate of FIG. 2E after removal of the polymer passivation material from the metal surface.
  • silicon oxide films such as silicon dioxide films, for example SiO 2 films
  • silicon oxide films, such as silicon dioxide films, for example SiO 2 films are used, for example, in a wide variety of semiconductor devices, including CMOS, DRAM, flash, and magnetic head applications.
  • Silicon oxide, such as silicon dioxide, for example SiO 2 is also commonly used as a gate dielectric for CMOS, as an electrical isolation layer, and gap filling layer.
  • Silicon oxide films, such as silicon dioxide films, for example SiO 2 films can be selectively deposited on dielectric surfaces relative to metal surfaces on a substrate by the methods described herein.
  • silicon oxide is selectively deposited over a first dielectric surface, such as an oxide surface, relative to a second metal (or metallic) surface through the use of a passivation agent in combination with a catalyst.
  • a passivation agent in combination with a catalyst.
  • the dielectric surface is also functionalized prior to selective deposition of the silicon oxide.
  • the dielectric surface may be selectively passivated or functionalized relative to the metal surface, for example by selectively treating the surface, such as by silylation.
  • the dielectric surface is functionalized by exposure to a silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • TMS-A allyltrimethylsilane
  • TMS-Cl chlorotrimethylsilane
  • TMS-Im N-(trimethylsilyl)imidazole
  • OTCS octadecyltrichlorosilane
  • HMDS hexamethyldisilazane
  • TMSDMA N-
  • the passivation may aid in subsequent selective passivation of the metal surface, as described below.
  • the passivated dielectric surface may be treated, such as with a plasma, to provide the desired surface terminations to facilitate catalyst chemisorption, as described in more detail below.
  • the metal surface is passivated, such as by selectively forming a polymer layer on the metal surface.
  • the silylation of the dielectric surface aids in the selectivity of the formation of the polymer passivation layer on the metal surface.
  • a catalyst is selectively deposited on the dielectric surface relative to the metal surface.
  • the catalyst is selectively chemisorbed on the dielectric surface.
  • the catalyst may be, for example, a metal catalyst as described in more detail below.
  • a silicon oxide layer is then selectively deposited on the dielectric surface relative to the passivated metal surface by contacting the substrate with a silicon reactant such as a silanol.
  • the catalyst prepares the surface for reaction with the silanol that leads to catalytic silicon oxide growth selectively on the dielectric surface of the substrate relative to the passivated metal surface.
  • the silicon oxide layer may be deposited by a cyclical vapor deposition process in which the substrate is alternately contacted with the catalyst and the silanol until a silicon oxide film of a desired thickness has been selectively deposited. Following silicon oxide deposition, the polymer passivation layer on the metal surface may be removed, such as by etching.
  • a dielectric surface, such as an oxide surface, on a substrate is silylated with a silylating agent such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA), a polymer is selectively deposited on a metal surface of the same substrate, a metal catalyst such as an aluminum catalyst is selectively deposited on the dielectric surface of the same substrate, and silicon oxide is subsequently selectively deposited on the dielectric surface of the substrate relative to the passivated metal surface.
  • a silylating agent such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imid
  • a silicon oxide layer may be selectively deposited on a dielectric surface, such as a metal oxide surface, a silicon oxide surface or a low k surface, relative to an adjacent metal surface by, for example, silylating the dielectric surface with a silylating agent, using a thiol SAM or polyimide layer to passivate the metal surface, using trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl 3 ), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA) as the catalyst, and a using a silanol such as tris(tert-pentoxy) silanol as the silicon reactant.
  • the silylated dielectric surface is plasma treated prior to contacting the surface with the catalyst.
  • a metal or metallic surface of a substrate comprises an elemental metal or metal alloy, while a second, different surface of the substrate comprises a dielectric material, such as an oxide.
  • the dielectric surface and metal surface are adjacent to each other or at least partially adjacent to each other. Examples of possible dielectric materials include silicon oxide based materials, including grown or deposited silicon dioxide, doped and/or porous oxides, native oxide on silicon, etc.
  • the dielectric material comprises a metal oxide.
  • the dielectric material comprises a low k material.
  • the surface of the dielectric material may be selectively functionalized or passivated relative to the metal or metallic surface, such as by selective silylation.
  • the dielectric surface is contacted with a vapor phase passivation agent, such as vapor phase allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMD 5 ), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • TMS-A vapor phase allyltrimethylsilane
  • TMS-Cl chlorotrimethylsilane
  • TMS-Im N-(trimethyl silyl)imidazole
  • OTCS octadecyltrichlorosilane
  • HMD 5 hexamethyldisilazane
  • the substrate may be contacted with a sufficient quantity of the passivation agent and for a sufficient period of time that the dielectric surface is selectively passivated with silicon species.
  • the dielectric surface is not passivated with a self-assembled monolayer (SAM).
  • a polymer passivation layer may be selectively formed on the metal surface relative to the dielectric surface.
  • the passivation agent on the dielectric surface inhibits or prevents formation of the polymer passivation layer on the dielectric surface.
  • a plasma treatment may be used to activate the dielectric surface.
  • the silylated dielectric surface may be exposed to a H 2 plasma.
  • a catalyst is selectively formed on the dielectric surface relative to the passivated metal surface, such as by contacting the substrate with a catalyst compound.
  • a catalyst compound such as by contacting the substrate with a catalyst compound.
  • the catalyst is a metal catalyst.
  • the substrate is contacted with a metal catalyst as described below.
  • the catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga.
  • the catalyst is a metal halide, organometallic or metalorganic compound.
  • the catalyst may be a metal oxide.
  • the metal catalyst is an aluminum catalyst comprising trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl 3 ), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • the catalyst is a zirconium compound, such as Zr-DO 4 .
  • the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ).
  • the catalyst is ZrCl 4 .
  • the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (LA(iPrCp) 3 ).
  • the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl 4 .
  • the catalyst is a gallium compound, such as trimethylgallium (TMG).
  • TMG trimethylgallium
  • the catalyst is a hafnium compound, such as HfCl 4 or Hf(NO 3 ) 4 .
  • the catalyst may be a compound comprising Boron.
  • the catalyst may preferentially deposit on the dielectric surface, for example a functionalized dielectric surface, relative to a passivated metal surface. In some embodiments the catalyst preferentially deposits on a dielectric surface relative to a passivated metal surface. In some embodiments the passivation agent on the metal surface inhibits or prevents deposition of catalyst on the metal surface. In some embodiments a single exposure to the passivation agent may prevent deposition of catalyst on the metal surface for 1, 2, 5, 10, 20, 30, 40 or 50 or more cycles in which the substrate is contacted with the catalyst. In some embodiments the metal surface is not passivated and the catalyst selectively deposits on the dielectric surface in the absence of a passivating material on the metal surface. For example, the catalyst may selectively deposit on a functionalized dielectric surface relative to a metal surface. In some embodiments a catalyst is not utilized.
  • a silicon oxide layer is selectively deposited on the catalyzed dielectric surface relative to the passivated metal surface.
  • the substrate may be exposed to a silicon precursor, such as a silanol.
  • the substrate is exposed to the silicon precursor alone, while in some embodiments the substrate is exposed to the silicon precursor and an oxygen precursor, such as H 2 O.
  • the silicon precursor may react with the surface comprising the catalyst to form silicon oxide.
  • the substrate may be contacted with a silicon reactant comprising a silanol such that the silanol decomposes at the catalyst atoms on the dielectric surface, resulting in the selective growth of silicon oxide on the dielectric surface relative to the metal surface.
  • the substrate is alternately and sequentially contacted with the dielectric passivation agent, the metal passivation agent, the catalyst and the silanol reactant in one or more deposition cycles.
  • This deposition cycle may be repeated multiple times to selectively deposit a silicon oxide film of a desired thickness on the dielectric surface relative to the metal surface.
  • a substrate comprising a dielectric surface and a metal surface is initially contacted with a first passivation agent 110 .
  • the first passivation agent may be, for example, a silylating agent such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • TMS-A allyltrimethylsilane
  • TMS-Cl chlorotrimethylsilane
  • TMS-Im N-(trimethyl silyl)imidazole
  • OTCS octadecyltrichlorosilane
  • HMDS hexamethyldisilazane
  • TMSDMA N-(trimethylsily
  • the first passivation agent may passivate or functionalize the dielectric surface. Excess first passivation agent may be removed from the substrate surface.
  • the first passivation agent is provided 110 only once in the deposition process, for example only in the first deposition cycle 100 . In some embodiments step 110 is omitted and the first passivation agent is not used.
  • the metal surface is passivated 120 by exposure to one or more second passivation agents, leading to selective formation of a polymer layer on the metal surface.
  • a self-assembled monolayer SAM
  • SAM self-assembled monolayer
  • a polyimide layer is selectively deposited on the metal surface.
  • the metal surface is only passivated 120 in one deposition cycle 100 , for example only in the first deposition cycle 100 .
  • a silicon oxide deposition sub-cycle 130 is carried out in which the substrate is contacted with the catalyst 140 and a silicon precursor 140 , such as a silanol.
  • the substrate is contacted with an oxygen reactant such as H 2 O in addition to the silicon reactant.
  • an oxygen reactant such as H 2 O in addition to the silicon reactant.
  • Excess catalyst and silanol may be removed from the substrate surface after each contacting step 140 and 150 .
  • the sub-cycle may be repeated 160 multiple times in a single deposition cycle 100 .
  • one, two, three, or more silicon oxide deposition sub-cycles in which the substrate is alternately and sequentially contacted with the catalyst 140 and the silanol reactant 150 are carried out in each deposition cycle 100 .
  • silicon oxide deposition sub-cycles 130 may be carried out for each time that the substrate is contacted with the first passivation agent 110 and/or the metal surface is passivated 120 . That is, for each time that the substrate is contacted with the first passivation agent 110 and/or the metal surface is passivated 120 , multiple silicon oxide deposition sub-cycles 130 may be carried out. In some embodiments the silicon oxide deposition sub-cycle 130 is repeated up to fifty times prior to commencing another deposition cycle 100 by contacting the substrate with the first passivation agent.
  • the polymer passivation layer on the metal surface is removed 170 prior to beginning a new deposition cycle 100 .
  • the polymer passivation layer may be removed, for example, by etching, such as by plasma etching.
  • the polymer passivation layer is not removed in every deposition cycle 100 but is only removed in one or more deposition cycles, such as in the last deposition cycle.
  • the deposition cycle 100 may be repeated until a silicon oxide film of a desired thickness has been selectively formed on the metal surface.
  • the dielectric surface on which the silicon oxide is selectively deposited is at least partially adjacent to the metal or metallic surface that is selectively passivated.
  • at least one portion of a dielectric surface may be adjacent to a metal or metal oxide surface.
  • the dielectric surface can be provided with a passivation layer, such as by exposure to a silylating agent.
  • the passivation layer on the dielectric surface may facilitate selectivity for the subsequent passivation of the metal surface by a polymer.
  • the passivation layer on the dielectric can serve to functionalize the surface such that the catalyst is able to chemisorb on the dielectric surface.
  • the passivation layer on the dielectric surface can be activated, such as by exposure to a plasma reactant, for example H 2 plasma. In some embodiments the activation step may be carried out after selective passivation of the metal layer.
  • suitable reactors that may be used in the selective deposition processes described herein include commercially available atomic layer deposition (ALD) equipment.
  • ALD atomic layer deposition
  • many other kinds of reactors capable of growth of polymer passivation layers including chemical vapor deposition (CVD) reactors, vapor deposition polymerization (VDP) reactors, and molecular layer deposition (MLD) reactors, can be employed.
  • CVD chemical vapor deposition
  • VDP vapor deposition polymerization
  • MLD molecular layer deposition
  • selective deposition can be used to deposit films of interest, such as silicon oxide films, on a dielectric surface preferentially relative to a metal or metallic surface.
  • a dielectric surface preferentially relative to a metal or metallic surface.
  • a substrate is illustrated schematically in FIG. 2A .
  • the two surfaces are at least partially adjacent to each other on the substrate, for example as schematically illustrated.
  • selective passivation of the dielectric surface such as selective silylation of the oxide surface, relative to the metal or metallic surface, followed by selective passivation of the metal or metallic surface such as by formation of a polymer layer, can facilitate subsequent selective deposition of a metal catalyst on the dielectric surface followed by selective deposition of a silicon oxide layer on the dielectric surface relative to the passivated metal surface.
  • the polymer passivation layer may be subsequently removed from the metal layer.
  • one of the surfaces can be a conductive metal or metallic surface of a substrate, while the other dielectric surface can be a non-conductive oxide surface of the substrate.
  • the non-conductive dielectric surface comprises —OH groups, such as a silicon oxide-based surface (e.g., low-k materials, including grown and deposited silicon-oxide materials and native oxide over silicon).
  • the dielectric surface can be selectively passivated relative to the metal or metallic surface, such as by exposure to a silylating agent.
  • the surface can subsequently be activated, if necessary, to facilitate selective chemisorption of a metal catalyst on the dielectric layer and subsequently silicon oxide can be selectively deposited on the dielectric surface relative to the metal surface.
  • vapor deposition methods can selectively passivate the oxide surface relative to the metal or metallic surface to activate it for catalyzed silicon oxide deposition and also passivate the metal or metal surface to discourage or prevent silicon oxide formation thereon.
  • cyclical vapor deposition is used, for example, cyclical CVD or atomic layer deposition (ALD) processes.
  • selectivity for the passivation layer on the dielectric surface can be achieved without prior passivation agents on the metal or metallic surface, and/or without catalytic agents on the surface of the dielectric layer to receive more of the passivation layer.
  • the oxide layer can be selectively silylated relative to the metal or metallic surface without pretreatment of the oxide surface or pretreatment of the metal or metallic surface.
  • the metal or metallic surface is selectively passivated to inhibit silicon oxide deposition on that surface.
  • a polymer layer can be formed over a metal or metallic surface relative to a dielectric surface, facilitating subsequent selective deposition of silicon oxide on the dielectric surface relative to the polymer-covered metallic surface.
  • the polymer layer can be a self-assembled monolayer (SAM).
  • the polymer layer can be a polyimide layer. After selective deposition of the polymer passivation layer is completed, selective deposition of materials of interest, such as the catalyst and/or the silicon oxide, can be conducted on the dielectric surface relative to the passivated metal surface. The passivation layer can be removed after selective deposition of the silicon oxide on the dielectric surface.
  • a surface may be a metal surface or a metallic surface.
  • the metal or metallic surface may comprise surface oxidation.
  • the material of the metal surface is electrically conductive with or without surface oxidation.
  • a metal surface comprises one or more transition metals.
  • a metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, or Mo.
  • a metal surface comprises Cu.
  • a metal surface is a copper surface.
  • a metallic surface comprises titanium nitride.
  • the metal surface comprises one or more noble metals, such as Ru.
  • the metal surface comprises a metal oxide, such as a conductive metal oxide, metal nitride, metal carbide, metal boride, or combination thereof.
  • the metal or metallic surface may comprise one or more of RuO x , NbC x , NbB x , NiO x , CoO x , NbO x , MoO x , WO x , WNC x , TaN, or TiN.
  • the oxide (or other dielectric) surface may be passivated such as by silylation.
  • the passivation is selective for the oxide surface relative to another surface, such as a metal or metallic surface on the same substrate (see, e.g., FIG. 2B ).
  • the dielectric surface is passivated by silylation prior to formation of a polymer passivation layer, such as a polyimide passivation layer, on the metal surface.
  • the dielectric surface is not passivated prior to formation of a polymer passivation layer on the metal surface.
  • the treatment of dielectric layer is a dielectric restoration step.
  • Different kinds of silicon containing material restoration steps can be performed before the selective deposition of the polymer passivation layer on the metal surface and before deposition of silicon oxide on the dielectric surface, and after the surface has been cleaned (if carried out).
  • the oxide surface is silylated by exposure to a vapor phase silylating agent one or more times.
  • a silylating agent may be conducted in the reaction space and contacted with the substrate surface.
  • the silylating agent may be, for example, a chlorosilane, alkoxysilane, silylhalide, silylcyanate, silylazide, silylisocyanate, silylisothiocyanate, silylsulfonate, silylacetamide, silylcarbodiimide, allysilane, or nitrogen-bearing silane such as a silazane, imidazole or amine.
  • the silylating agent is allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA) and silylation comprises exposing the substrate to one or more pulses of the silylating agent.
  • TMS-A allyltrimethylsilane
  • TMS-Cl chlorotrimethylsilane
  • TMS-Im N-(trimethylsilyl)imidazole
  • OTCS octadecyltrichlorosilane
  • HMDS hexamethyldisilazane
  • TMSDMA N-(trimethylsilyl)dimethylamine
  • both the metal or metallic surface and the oxide surface are contacted with the silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • the oxide surface of a substrate is selectively silylated relative to a metal or metallic surface of the substrate.
  • the silylating agent is an alkylaminosilane.
  • the oxide surface of the substrate may be contacted with an alkylaminosilane having the formula (R I ) 3 Si(NR II R III ), wherein R I is a linear or branched C 1 -C 5 alkyl group or a linear or branched C1-C4 alkyl group, R II is a linear or branched C1-C5 alkyl group, a linear or branched C1-C4 alkyl group, or hydrogen, and R III is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group.
  • the silylating agent is trimethyl(dimethylamino)silane, trimethyl(diethylamino)silane or trimethyl(ethylaminosilane).
  • the silylating agent is a silane.
  • the dielectric surface may be contacted with a silane having the general formula (R I ) 3 SiA, wherein R I is a linear or branched C 1 -C 5 alkyl group or a linear or branched C 1 -C 4 alkyl group, and A is any ligand which is reactive with a silicon containing surface.
  • R I is a linear or branched C 1 -C 5 alkyl group or a linear or branched C 1 -C 4 alkyl group
  • A is any ligand which is reactive with a silicon containing surface.
  • the silylating agent may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments the silylating agent is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments the silylating agent is provided in 1 to 25 pulses of from about 0.1 to about 60 seconds. In some embodiments the silylating agent is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds or about 25 seconds. In between pulses, the silylating agent may be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. The purge may be, for example for about 1 to 30 seconds or more.
  • Purging the reaction chamber means that vapor phase passivation agent and/or vapor phase byproducts, if any, are removed from the reaction chamber such as by, evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen.
  • the substrate is moved from a reaction space comprising the passivation agent.
  • silylation is accomplished through the reaction of a silicon compound, for instance Cl—Si(CH 3 ) 3 , with an Si—OH terminated surface of a silicon containing dielectric surface: Si-OH+Cl-Si(CH 3 ) 3 ⁇ Si—O—Si(CH 3 ) 3 +HCl.
  • a silicon compound for instance Cl—Si(CH 3 ) 3
  • Si—OH terminated surface of a silicon containing dielectric surface Si-OH+Cl-Si(CH 3 ) 3 ⁇ Si—O—Si(CH 3 ) 3 +HCl.
  • an appropriate surface termination is formed prior to providing the silicon compound.
  • silicon compounds with longer carbon containing ligands is possible
  • a silicon containing surface is treated by contacting the silicon containing surface with one or more silanes, such as silane, disilane or trisilane.
  • the silane has the chemical formula Si n H 2n+2 (n is an integer equal to or greater than 1), or the cyclic silane family and having the chemical formula Si n H 2n (n is an integer equal to or greater than 3).
  • the silane is disilane Si 2 H 6 or trisilane Si 3 H 8 .
  • the silane has the formula: SiH x L y , where L is a ligand selected from the groups including: alkyl, alkenyl, alkynyl, alkoxide, and amine. In some cases, L is a ligand selected from the halide group: F, Cl, Br and I.
  • the silicon containing surface is treated with trimethylchlorosilane (CH 3 ) 3 SiCl (TMCS) or with other type of alkylhalosilanes having formula R 3-x SiX x , wherein x is an integer from 1 to 3 and each R can independently be selected to be C1-C5 hydrocarbon, such as methyl, ethyl, propyl or butyl, preferably methyl, and X is halide, preferably chloride.
  • TMCS trimethylchlorosilane
  • TMCS trimethylchlorosilane
  • R 3-x SiX x wherein x is an integer from 1 to 3 and each R can independently be selected to be C1-C5 hydrocarbon, such as methyl, ethyl, propyl or butyl, preferably methyl, and X is halide, preferably chloride.
  • U.S. Pat. No. 6,391,785 discloses various surface modifications and treatments and is incorporated herein in its entirety. In some embodiments
  • the temperature of the silylation process may be, for example, from about 50° C. to about 500° C., or about 100° C. to about 300° C.
  • the pressure during the silylation process may be, for example, from about 10 ⁇ 5 to about 760 Torr, or in some embodiments from about 1 to about 10 Torr or about 0.1 to about 10 Torr.
  • the silylated surface is subsequently exposed to a plasma to activate the surface for subsequent chemisorption of the catalyst.
  • the plasma is H 2 plasma. The plasma treatment may be carried out prior to or after the formation of a polymer passivation layer on the metal surface.
  • the silylation process and/or plasma treatment may be carried out in situ, that is in the same reaction chamber as other parts of the deposition process, for example selective deposition of an aluminum catalyst on the dielectric surface relative to the metal surface and/or the subsequent selective deposition of silicon oxide on the dielectric surface relative to the metal surface.
  • the silylation and/or plasma treatment may be carried out in a separate reaction chamber from one or more other processing steps.
  • the reaction chamber in which the silylation is carried out is part of a cluster tool, including one or more additional reaction chambers.
  • such a cluster tool may include additional reaction chambers for the plasma treatment of the silylated dielectric surface, for formation of a polymer passivation layer on the metal surface, for the deposition of the aluminum catalyst on the dielectric surface, for the deposition of silicon oxide on the dielectric surface, and/or for etching one or more layers.
  • a cluster tool includes separate modules for pretreatment, silylation of the dielectric surface, formation of a polymer passivation layer on the metal surface, selective deposition of aluminum catalyst, selective deposition of silicon oxide and subsequent post-deposition treatment, such as etching to remove the polymer passivation layer from the metal surface.
  • the same module can be used for two or more processes.
  • the substrate may be pretreated or cleaned prior to or at the beginning of the deposition process or prior to or after one or more of the steps in the selective deposition processes.
  • the substrate may be subjected to a plasma cleaning process prior to or at the beginning of the deposition process.
  • a plasma cleaning process may not include ion bombardment, or may include relatively small amounts of ion bombardment.
  • the substrate surfaces may be exposed to plasma, radicals, excited species, and/or atomic species prior to or at the beginning of the passivation process, and/or the selective metal oxide deposition process.
  • the substrate surface may be exposed to hydrogen plasma, radicals, or atomic species prior to or at the beginning of the deposition process.
  • the dielectric surface is not passivated prior to selectively depositing the catalyst on the dielectric surface relative to the metal surface.
  • a polymer passivation layer can facilitate selective formation of silicon oxide on a dielectric surface relative to a metal surface comprising the polymer passivation layer.
  • the polymer passivation layer may comprise, for example, a self-assembled monolayer (SAM), a polyimide layer or a different polymer, such as a polyester or polyamide like nylon.
  • SAM self-assembled monolayer
  • the polymer passivation layer can serve to inhibit chemisorption of the catalyst and subsequent silicon oxide deposition on a metal or metallic surface, thus facilitating selective deposition of silicon oxide on dielectric surfaces.
  • passivation is a label and need not imply 100% deactivation of the silicon oxide layer deposition on the metal surface. As noted elsewhere herein, even imperfect selectivity can suffice to obtain a fully selective structure after an etch back process.
  • the selectively deposited polymer is a polyimide. In some embodiments, the polymer deposited is a polyamide. Other examples of deposited polymers include dimers, trimers, polyurea layers, polythiophene polyurethanes, polythioureas, polyesters, polyimines, other polymeric forms or mixtures of the above materials. Vapor deposited organic materials include polyamic acid, which may be a precursor to polymer formation. The selectively deposited layer can be a mixture including polymer and polyamic acid, which for purposes of the present disclosure will be considered to be a polymer.
  • a polymer passivation layer can be selectively deposited on a metal or metallic surface of a substrate relative to the dielectric surface of the substrate, as illustrated in FIG. 2C .
  • This surface comprising the polymer may be referred to as the passivated metal layer.
  • the polymer passivation layer may be deposited by a variety of methods, including vapor deposition methods such as chemical vapor deposition (CVD), atomic layer deposition (ALD) and molecular layer deposition (MLD) and liquid phase deposition methods.
  • vapor deposition methods such as chemical vapor deposition (CVD), atomic layer deposition (ALD) and molecular layer deposition (MLD) and liquid phase deposition methods.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • MLD molecular layer deposition
  • liquid phase deposition methods Some exemplary methods for selectively depositing polymer layers are disclosed in Atomic Layer Deposition of Polyimide Thin Films (Putkonen et al. J. Mater. Chem. 2007. 17:664-669) and Organic and Inorganic-Organic Thin Film Structures by Molecular Layer Deposition: A Review (Sundberg and Karppinen. Beilstein J. Nanotechnol. 2014. 5:1104-1136), the entire disclosures of
  • Various reactants can be used to form a polymer passivation layer by vapor deposition, such as by ALD or CVD.
  • the substrate is contacted with a first reactant and a second reactant.
  • the reactants are provided sequentially.
  • the substrate may be contacted with the second reactant prior to being contacted with the first reactant.
  • the reactants do not contain metal atoms. In some embodiments, the reactants do not contain semimetal atoms. In some embodiments, one of the reactants comprises metal or semimetal atoms. In some embodiments, the reactants contain carbon and hydrogen and one or more of the following elements: N, O, S, P or a halide, such as Cl or F. In some embodiments, the first reactant may comprise, for example, adipoyl chloride (AC).
  • AC adipoyl chloride
  • Deposition conditions can differ depending upon the selected reactants and can be optimized upon selection.
  • the reaction temperature can be selected from the range of about 80° C. to about 250° C.
  • the reaction chamber pressure may be from about 1 mTorr to about 1000 Torr.
  • the reaction temperature can be selected from a range of about 80° C. to about 150° C.
  • the reaction temperature may be greater than about 80° C., 90° C., 100° C., 110° C., 120° C., 130° C., 140° C., or 150° C.
  • the reaction temperature may be greater than about 160° C., 180° C., 190° C., 200° C., or 210° C.
  • substrate temperatures can be selected from the range of about 150° C. to about 250° C., or from about 170° C. to about 210° C.
  • pressures can be selected from the range of about 1 mTorr to about 760 Torr, more particularly between about 100 mTorr to about 100 Torr.
  • reactants for use in the polymer passivation layer selective deposition processes described herein may have the general formula:
  • R 1 may be an aliphatic carbon chain comprising 1-5 carbon atoms, 2-5 carbon atoms, 2-4 carbon atoms, 5 or fewer carbon atoms, 4 or fewer carbon atoms, 3 or fewer carbon atoms, or 2 carbon atoms.
  • the bonds between carbon atoms in the reactant or precursor may be single bonds, double bonds, triple bonds, or some combination thereof.
  • a reactant may comprise two amino groups.
  • the amino groups of a reactant may occupy one or both terminal positions on an aliphatic carbon chain. However, in some embodiments the amino groups of a reactant may not occupy either terminal position on an aliphatic carbon chain.
  • a reactant may comprise a diamine.
  • a reactant may comprise an organic precursor selected from the group of 1,2-diaminoethane (l), 1,3-diaminopropane (l), 1,4-diaminobutane(l), 1,5-diaminopentane (l), 1,2-diaminopropane (l), 2,3-butanediamine, 2,2-dimethyl-1,3-propanediamine (l).
  • reactants for use in the selective deposition processes described herein may have the general formula:
  • R 2 may be an aliphatic carbon chain comprising 1-3 carbon atoms, 2-3 carbon atoms, or 3 or fewer carbon atoms.
  • the bonds between carbon atoms in the reactant or precursor may be single bonds, double bonds, triple bonds, or some combination thereof.
  • a reactant may comprise a chloride.
  • a reactant may comprise a diacyl chloride.
  • a reactant may comprise an organic precursor selected from the group of oxalyl chloride (I), malonyl chloride, and fumaryl chloride.
  • a reactant comprises an organic precursor selected from the group of 1,4-diisocyanatobutane or 1,4-diisocyanatobenzene.
  • a reactant comprises an organic precursor selected from the group of terephthaloyl dichloride, alkyldioyl dichlorides, such as hexanedioyl dichloride, octanedioyl dichloride, nonanedioyl dichloride, decanedioyl dichloride, or terephthaloyl dichloride.
  • a reactant comprises an organic precursor selected from the group of 1,4-diisothiocyanatobenzene or terephthalaldehyde.
  • a reactant being vaporized can also be a diamine, such as 1,4-diaminobenzene, decane-1,10-diamine, 4-nitrobenzene-1,3-diamine, 4,4′-oxydianiline, or ethylene diamine.
  • a reactant can be a terephthalic acid bis(2-hydroxyethyl) ester.
  • a reactant can be a carboxylic acid, for example alkyl-, alkenyl-, alkadienyl-dicarboxylic or tricarboxylic acid, such as ethanedioic acid, propanedioic acid, butanedioic acid, pentanedioic acid or propane-1,2,3-tricarboxylic acid.
  • a reactant can be an aromatic carboxylic or dicarboxylic acid, such as benzoic acid, benzene-1,2-dicarboxylic acid, benzene-1,4-dicarboxylic acid or benzene-1,3-dicarboxylic acid.
  • a reactant may comprise one or more OH-groups bonded to a hydrocarbon.
  • a reactant can be selected from the group of diols, triols, aminophenols such as 4-aminophenol, benzene-1,4-diol or benzene-1,3,5-triol.
  • a reactant can be 8-quinolinol.
  • the reactant can comprise alkenylchlorosilanes, like alkenyltrichlorosilanes, such as 7-octenyltrichlorosilane.
  • a reactant may have a vapor pressure greater than about 0.5 Torr, 0.1 Torr, 0.2 Torr, 0.5 Torr, 1 Torr or greater at a temperature of about 20° C. or room temperature. In some embodiments, a reactant may have a boiling point less than about 400° C., less than about 300° C., less than about 250° C., less than about 200° C., less than about 175° C., less than about 150° C., or less than about 100° C.
  • the polymer passivation layer on the metal surface comprises a self-assembled monolayer (SAM).
  • SAM self-assembled monolayer
  • a SAM can be selectively formed over the metal surface without forming on the dielectric surface.
  • the SAM is a thiol, or sulfur-containing SAM.
  • the metal surface may be pretreated with acid treatments prior to SAM formation.
  • a SAM passivation layer may be selectively formed on the metal surface by contacting the substrate with a sulfur-containing monomer, such as 1-dodecanethiol (CH 3 (CH 2 ) 11 SH), which can be referred to as a thiol SAM precursor or monomer, or with another SAM monomer such as trichloro(octadecyl)silane.
  • a sulfur-containing monomer such as 1-dodecanethiol (CH 3 (CH 2 ) 11 SH)
  • thiol SAM precursor or monomer such as a thiol SAM precursor or monomer
  • another SAM monomer such as trichloro(octadecyl)silane.
  • any material deposited on the dielectric surface can be removed by an etch back process.
  • an etch process subsequent to selective deposition of the silicon oxide may remove deposited organic material from both the first surface and the second surface of the substrate.
  • the etch process may be isotropic.
  • the etch process may remove the same amount, or thickness, of material from the metal and dielectric surfaces. That is, in some embodiments the etch rate of the organic material deposited on the first surface may be substantially similar to the etch rate of the organic material deposited on the second surface. Due to the selective nature of the deposition processes, the amount of organic material deposited on the dielectric surface of the substrate may be substantially less than the amount of material deposited on the metal surface of the substrate. Therefore, an etch process may completely remove deposited organic material from the dielectric surface of the substrate while deposited organic material may remain on the metal surface of the substrate.
  • polymer passivation of the metal surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the metal surface has not been passivated.
  • a catalyst for the subsequent deposition of silicon oxide can be selectively deposited on the dielectric surface of a substrate relative to the metal surface of the substrate.
  • This surface comprising the catalyst may be referred to as the catalyzed dielectric surface.
  • passivation of the dielectric surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the dielectric surface has not been passivated.
  • passivation of the metal surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the metal surface has not been passivated.
  • the selective deposition of the catalyst is facilitated or improved by the passivation of the dielectric surface as described above and/or the passivation of the metal surface as described above.
  • the catalyst is selectively deposited on a passivated dielectric surface relative to a passivated metal surface.
  • a catalyst is not utilized.
  • the substrate surface may itself catalyze silicon oxide deposition without the need for a further catalyst.
  • an aluminum catalyst 250 is selectively deposited on the dielectric surface 220 relative to a metal surface 210 .
  • the aluminum catalyst 250 is selectively deposited on a dielectric surface 220 that has been passivated with a silylating compound as described herein relative to a metal surface 210 that has been passivated with a polymer layer 240 as described herein.
  • a catalyst is selectively deposited on the dielectric surface by contacting the substrate with a catalyst compound.
  • the catalyst forms up to a molecular layer of catalytic sites on the dielectric surface of the substrate.
  • the catalyst compound preferably catalyzes the formation of silicon oxide from a vapor phase silanol reactant.
  • the substrate is exposed to silanol, such as TPS, and a silicon oxide film, such as silicon dioxide film, for example SiO 2 film is formed over the dielectric surface, as illustrated in FIG. 2E .
  • the silicon oxide film 260 typically comprises multiple molecular layers.
  • the cycle of exposure to the catalyst and the silanol can be repeated, if necessary, to deposit a silicon dioxide film of a desired thickness.
  • the concentration of the silanol can be controlled to achieve a desired deposition rate.
  • the catalyst is a metal catalyst.
  • the catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga.
  • the catalyst is a metal halide, organometallic or metalorganic compound.
  • the catalyst is a compound comprising boron.
  • the catalyst is an alkylaluminium, alkylboron or alkylzinc compound that is able to react with the hydrophobic surface.
  • the catalyst may comprise trimethyl aluminum (TMA), triethylboron (TEB), or diethyl zinc.
  • the catalyst comprises a compound having the formula MR x A 3-x , wherein x is an integer from 1 to 3, R is a C 1 -C 5 alkyl ligand, M is B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga and A is a halide, alkylamine, amino, silyl or derivative thereof.
  • R is a C 1 -C 3 alkyl ligand.
  • the R is a methyl or ethyl group.
  • the M is boron.
  • the catalyst is ZnR x A 2-x , wherein x is an integer from 1 to 2, R is a C 1 -C 5 alkyl ligand, and A is a halide, alkylamine, amino, silyl or derivative thereof. In some such embodiments the R is a C 1 -C 3 alkyl ligand. In some embodiment the R is a methyl or ethyl group.
  • the catalyst is an aluminum catalyst.
  • Al compounds that can be used include trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl 3 ), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • TMA trimethyl aluminum
  • dimethylaluminumchloride aluminum trichloride
  • DMAI dimethylaluminum isopropoxide
  • TTBA tris(tert-butyl)aluminum
  • TIPA tris(isopropoxide)aluminum
  • TIPA triethyl aluminum
  • the aluminum catalyst comprises is a heteroleptic aluminum compound.
  • the heteroleptic aluminum compound comprises an alkyl group and another ligand, such as a halide, for example Cl.
  • the aluminum catalyst comprises dimethylaluminumch
  • the aluminum catalyst comprises an alkyl precursor comprising two different alkyl groups as ligands.
  • the aluminum compound is an aluminum isopropoxide.
  • the aluminum catalyst comprises a metalorganic compound.
  • the aluminum catalyst comprises an organometallic compound.
  • the aluminum catalyst is an aluminum compound such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl 3 ), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • the catalyst is a zirconium compound, such as Zr-DO 4 .
  • the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ).
  • TEMAZ tetrakis(ethylmethylamino)zirconium
  • the catalyst is ZrCl 4 .
  • the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (LA(iPrCp) 3 ).
  • the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl 4 .
  • TTIP titanium isopropoxide
  • TiCl 4 titanium isopropoxide
  • the catalyst is a gallium compound, such as trimethylgallium (TMG).
  • TMG trimethylgallium
  • the catalyst is a hafnium compound, such as HfCl 4 or Hf(NO 3 ) 4 .
  • the catalyst may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments the catalyst is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments the catalyst is provided in 1 to 25 pulses of from about 0.1 to about 60 seconds. In some embodiments the catalyst is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds or about 25 seconds. In between pulses, excess catalyst may be removed from the reaction space.
  • the reaction chamber may be evacuated and/or purged with an inert gas. The purge may be, for example for about 1 to 30 seconds or more.
  • Purging means that vapor phase catalyst and/or vapor phase byproducts, if any, are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reaction chamber with an inert gas.
  • vapor phase catalyst is removed from the substrate surface by moving the substrate from the reaction space comprising the vapor phase catalyst.
  • the temperature of the selective catalyst deposition may be, for example, from about 50° C. to about 500° C., or about 100° C. to about 300° C. In some embodiments, the deposition temperature is between about 50° C. and about 400° C. In some embodiments the deposition temperature is greater than about 100° C. and the catalytic chemical is an alkylaluminum compound, such as TMA. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is from about 100° C. to about 400° C., from about 100 to about 200, from about about 200° C. to about 400° C., or from about 250° C. to about 350° C.
  • DMAI dimethylaluminum isopropoxide
  • the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is about 150° C. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is about 300° C.
  • the catalytic chemical is an alkylboron compound, such as TEB, and the deposition temperature is between about 50° C. and about 400° C., between about 100° C. and about 350° C., or between about 100° C. and about 300° C. In some embodiments the catalytic chemical is an alkylboron compound and the temperature is greater than about 100° C. In some embodiments the deposition temperature is greater than about 300° C. and the catalytic chemical is TEB.
  • the temperature of the selective catalyst deposition may be selected according to the passivation layer used to allow the use of single deposition temperature.
  • the catalyst comprises a metal compound that is selectively deposited by contacting the substrate with a metal precursor and an oxygen reactant. In some embodiments the catalyst comprises a metal oxide. In some embodiments the metal compound is selectively deposited by an ALD process. In some embodiments the substrate is simultaneously or sequentially contacted with a first metal precursor and a second reactant comprising oxygen in one, two or more deposition cycles. In some embodiments the deposition process comprises a plurality of deposition cycles in which the substrate is alternately and sequentially contacted with the first metal precursor and the second reactant.
  • the first metal precursor is a hydrophobic Lewis acid.
  • the hydrophobic metal reactant may comprise at least one hydrophobic hydrocarbon ligand, such as alkyl, alkenyl, cyclic C 3 -C 8 or aromatic groups.
  • the first metal precursor may be bis(methylcyclopentadienyl)methoxymethyl zirconium.
  • the first metal precursor comprises a transition metal. In some embodiments the first precursor does not comprise a noble metal, such as Ru.
  • the first metal precursor may comprise at least one alkyl ligand, such as a C 1 -C 4 alkyl ligand.
  • the first metal precursor may comprise an organometallic or metalorganic compound.
  • the first metal precursor may comprise at least one cyclopentadienyl (Cp) ligand.
  • the first metal precursor may comprise a formamidinate or an amidinate compound.
  • the first metal precursor may comprise a beta-diketonate compound.
  • the first metal precursor may comprise an alkylamino compound, such as a dialkylamino compound.
  • the first metal precursor may comprise an alkylamino ligand, such as —NMe 2 , —NEt 2 or —NEtMe.
  • the first metal precursor may comprise magnesium.
  • the first metal precursor may be an organometallic or a metalorganic compound comprising magnesium.
  • the first metal precursor may comprise Mg(Cp) 2 or a derivative thereof.
  • the first metal precursor may comprise lanthanum. In some embodiments the first metal precursor may be an organometallic compound comprising lanthanum. In some embodiments the first metal precursor may comprise lanthanum formamidinate (La(FAMD) 3 ).
  • the first metal precursor may comprise hafnium.
  • the first metal precursor may comprise an organometallic compound comprising hafnium.
  • the first metal precursor may comprise alkylamino hafnium compound, such as Tetrakis(ethylmethylamino)hafnium (TEMAH, Hf(NEtMe) 4 ) or a derivative thereof.
  • the first metal precursor has the following formula:
  • each L can be independently selected to be a hydrocarbon group.
  • each L can be linear, branched, cyclic alkyl or unsaturated hydrocarbon group, such as alkenyl, alkynyl, aromatic, cyclopentadienyl, phenyl, cyclooctadienyl, or cycloheptatrienyl group.
  • one or both L can be a cyclopentadienyl group.
  • one or both L can be a bidentate ligand, such as beta-diketonate, guanidinate or amidinate.
  • the beta-diketonate ligand can be acetylacetonate or 2,2,6,6-tetramethyl-3,5-heptanedionato (THD).
  • the first metal precursor is a cyclopentadienyl compound or derivate thereof, such as alkyl-substituted cyclopentadienyl compound and have the following formula:
  • each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups can be independently selected to be hydrogen or a substituted or unsubstituted alkyl group.
  • each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups can be independently selected to be hydrogen or a linear or branched C 1 -C 5 alkyl group.
  • each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups can be independently selected to be hydrogen or a C 1 -C 3 alkyl group, such as methyl, ethyl, n-propyl or i-propyl group.
  • the first precursor is Mg(Cp) 2 .
  • the first metal precursor comprises one or more ligands, such as cyclopentadienyl (“Cp”) ligands.
  • Cp cyclopentadienyl
  • La is lanthanum
  • Cp is a cyclopentadienyl or a cyclooctadienyl group, so that Cp groups in chemical formulas I-IV can be the same as each other or different from one other
  • x denotes the number of the Cp ligands and it is an integer from 1 up to the oxidation state of La
  • cyclooctadiene is usually shortened as Cod, but here the presentation is simplified by the use of the single common abbreviation Cp for both cyclopentadienyl and cyclooctadienyl;
  • L y is a neutral adduct ligand that bounds from one or more of its atoms to the metal and wherein y denotes the number of the bound ligands;
  • W is some other ligand with a valence of one less than Cp and where n denotes the number of ligands.
  • W is amidinate or formamidinate.
  • W is a beta-diketonate or its corresponding sulfur or nitrogen compound, halide, amide, alkoxide, carboxylate or Schiff's base.
  • the cyclopentadienyl and/or cyclooctadienyl groups can be in the same molecule, so that there is a bridge between two Cp-groups consisting of a substituted or unsubstituted C 1 -C 6 chain that may contain a heteroatom selected from Si, N, P, Se, S or B.
  • G is —O—, —S—, or —NR 1 , wherein R 1 is an independently selected hydrogen or substituted or unsubstituted, cyclic, linear or branched, alkyl, alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, cyano or silyl group.
  • R 1 is an independently selected hydrogen or substituted or unsubstituted, cyclic, linear or branched, alkyl, alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, cyano or silyl group.
  • a cyclic or aromatic ring in R 1 may contain a heteroatom. Hydrogen or a R 1 -type substituent may also be attached to the carbon atoms in chemical equation V, or
  • Cyclopentadienyl or cyclooctadienyl groups, Cp in chemical formulas I-IV have the form:
  • n is an integer from 0-8, when a is 8 and m is an integer from 0-5 when a is 5,
  • Cp′ is fused or isolated cyclopentadienyl or cyclooctadienyl
  • R is an independently selected hydrocarbon fragment containing 1-6 carbon atoms, such as a C 1 -C 6 hydrocarbon.
  • each R ligand can be the same as each other R ligand, or each R ligand may different from one another. That is, each R ligand can be independently selected.
  • R can be a substituted or unsubstituted, cyclic, linear or branched, alkyl alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, amino, cyano or silyl group.
  • the cyclic or aromatic ring of the substituent may contain a heteroatom. Examples of the substituents are methyl, ethyl, propyl and isopropyl groups.
  • Neutral adduct ligands L shown in chemical equations II and IV can be independently selected ethers, amines or solvent molecules such as tetrahydrofurane that form a bond to the metal with one atom.
  • suitable neutral adduct ligands that form a bond to a metal with several atoms are polyethers and polyamines.
  • M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y and lanthanides;
  • each of the R 0 groups, each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups can be independently selected from:
  • R 6 is independently selected from:
  • a first metal precursor comprising a cyclopentadienyl compound comprises at least one ligand that is bonded to a metal via nitrogen as depicted by Formula IX:
  • M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;
  • each of the Ro groups, each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups is independently selected from:
  • the alkyl, alkenyl and alkynyl groups can be selected from any linear or branched alkyl, alkenyl and alkynyl groups which have 1 to 6 carbon atoms.
  • alkyl groups include methyl; ethyl; n- and i-propyl-; n-, i- and t-butyl-; n- and isoamyl; n- and isopentyl; n- and isohexyl; and 2,3-dimethyl-2-butyl.
  • alkyl groups are used.
  • the C 1-6 , alkenyl and alkynyl groups include the corresponding groups having a corresponding degree of unsaturation can be used.
  • the first metal precursor is a compound having at least one cyclopentadienyl ligand and at least one chelating ligand, for example, a bidentate ligand.
  • this compound is depicted by Formula X, (R 1 R 2 R 3 R 4 R 5 Cp) x -MR 0 z —(NR 1 NR 2 R) y , as follows:
  • M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;
  • each of the R 0 groups, each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups can be independently selected from:
  • the first metal precursor can be depicted by Formula XI, (R 1 R 2 R 3 R 4 R 5 Cp) x -MR 0 z —[(NR 1 NR 2 )CNR 3 ] y , as follows:
  • the first metal precursor is depicted by Formula XII, (R 1 R 2 R 3 R 4 R 5 Cp) x -MR 0 z —[(NR 1 NR 2 )CNR 3 R 4 ] y , as follows:
  • each of the R 0 groups, each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups can be independently selected from:
  • the first metal precursor as described in Formulae VIII-XII may comprise R 0 , R 1 , R 2 , R 3 , R 4 , R 5 , and R 6 wherein each of the R 0 groups, each of the R 1 groups, each of the R 2 groups, each of the R 3 groups, each of the R 4 groups, and each of the R 5 groups, and each of the R 6 groups can be independently selected from
  • a first metal precursor as described may comprise modified cyclopentadienyl groups.
  • the modified cyclopentadienyl groups are selected from the group consisting of Me 5 Cp, MeCp, EtCp, and Me 3 SiCp.
  • the first metal precursor may comprise an anionic or dianionic guanidinate ligand such as a triisopropylguandinate ligand.
  • the second reactant comprises oxygen and may be referred to herein as the oxygen precursor, oxygen reactant, oxygen-containing precursor, or oxygen-containing reactant.
  • the second reactant comprises molecular oxygen (O 2 ).
  • the second reactant does not comprise a compound comprising oxygen other than O 2 .
  • the second reactant does not comprise O 3 or H 2 O.
  • the second reactant does not comprise a plasma, for example an oxygen plasma.
  • the second reactant is supplied with or mixed with inert gas such as Na, He or Ar.
  • the second reactant comprises molecular oxygen and less than about 50%, 25%, 15%, 10%, 5%, 1%, or 0.1% of impurities other than inert gases.
  • the selective catalyst deposition process may be carried out in situ, that is in the same reaction chamber as prior passivation and/or a subsequent deposition process, for example the subsequent selective deposition of silicon oxide on the dielectric surface.
  • the selective catalyst deposition may be carried out in a separate reaction chamber from one or more subsequent processing steps, for example in one chamber that is part of a cluster tool.
  • the substrate may be pretreated or cleaned prior to or at the beginning of the selective catalyst deposition.
  • silicon oxide can be selectively deposited on the dielectric surface of the substrate relative to the metal surface.
  • silicon oxide is selectively deposited on the dielectric surface by contacting the substrate with a silicon reactant, such as a silanol (see, e.g., FIG. 2E ).
  • the substrate surface is contacted with a silicon reactant and an oxygen reactant, such as H 2 O.
  • the formation of silicon oxide is catalyzed by the presence of the catalyst on the dielectric surface, or, in some embodiments, by the surface itself when a separate catalyst is not employed.
  • the catalyst is a metal catalyst.
  • the metal content in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %.
  • the metal catalyst comprises aluminum.
  • the aluminum content in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %.
  • silanols can be used as the silicon reactant, such as alkoxysilanols or alkoxysilanediols.
  • the silicon reactant may comprise on or more tris(tert-alkoxy)silanols, di(alkoxy)alkylsilanols, di(alkoxy)silanediols or bis(tert-alkoxy)silanediols.
  • the silanol may be selected from one or more of tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), and tris(tert-pentoxy)silanol (TPS).
  • Silanols are compounds comprising silicon bound to one or more hydroxyl (OH) groups.
  • the silanols comprise more than one OH— group bonded directly to the silicon atom.
  • Silanol compounds include, without limitation, alkoxysilanols, alkoxyalkylsilanols, and alkoxysilanediols.
  • the silicon precursor comprises TPS.
  • the silicon source is di(alkoxy)silanediol.
  • a single silanol pulse is provided after the catalyst has been deposited on the dielectric surface.
  • a single silanol pulse is used to deposit a silicon dioxide film with a thickness of more than 5 angstroms, as measured on the top surface of the dielectric surface on the substrate.
  • the substrate can be contacted with the catalyst and the silanol in one or more silicon oxide deposition sub-cycles. The sub-cycles may be repeated until a silicon oxide film of the desired thickness has been selectively formed over the dielectric surface.
  • a single sub-cycle may be all that is required to obtain a silicon dioxide film of a desired thickness.
  • the steps may be repeated 2, 3, 4, 5, 6, 7, 8, 9, 10 or more times.
  • a catalyst pulse can be followed by two, three or more silanol pulses. In some embodiments, a catalyst pulse is followed by two silanol pulses. In some embodiments, a single catalyst pulse is followed by at least 10, for example 15 or 20 silanol pulses. Each silanol pulse may be separated by a purge step. In other embodiments, each silanol pulse is provided after a predetermined time delay, without an intervening purge step.
  • each silicon oxide deposition sub-cycle can begin with either reactant. However, as will be recognized by the skilled artisan, if the first sub-cycle begins with the silanol reactant, deposition may not begin until the second deposition cycle.
  • the catalyst With respect to the catalyst, surface saturation ensures catalyst occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus ensures excellent step coverage.
  • the catalyst can be provided in a non-saturating or under-saturating dose.
  • the dose of the catalyst is metered in order to provide a predetermined amount of catalyst and a predetermined amount of deposition of silicon dioxide.
  • a saturating pulse of silanol is provided.
  • the growth rate of silicon dioxide depends, in part, on diffusion of the precursor through the growing film, the growth rate can be controlled, for example by controlling precursor dose, purge time and/or temperature.
  • a non-saturating dose of silanol can be provided.
  • the dose of the silanol reactant and/or exposure time may be limited to provide silicon dioxide to a particular thickness and/or to a particular depth in a given reaction cycle.
  • a silicon dioxide thin film is selectively formed on a dielectric surface of a substrate relative to a metal surface by selecting a catalyst that is able to react with the dielectric surface (in view of any treatments it may have received) and carrying out a deposition process comprising one or more silicon dioxide deposition cycles, each silicon dioxide deposition cycle comprising:
  • a silicon oxide thin film is selectively deposited on one or more dielectric surfaces relative to one or more metal or metallic surfaces, such as a copper, cobalt, titanium nitride or tungsten surfaces.
  • the thickness of the film can be adjusted depending on the particular circumstances.
  • a silicon dioxide film ranging from a few angstroms to a few nanometers is deposited.
  • a thin film of silicon dioxide of less than about 2 nm is deposited.
  • a thin film of silicon dioxide of less than about 3 nm is deposited.
  • one or both of the catalysts and the silanol are underdosed in order to obtain deposition of a film of less than about 2 nm or less than about 3 nm.
  • the thin film may be deposited in one deposition cycle or in multiple deposition cycles.
  • the substrate Before starting the deposition of the silicon oxide, the substrate is typically heated to a suitable growth temperature.
  • the growth temperature of the silicon dioxide thin film is less than about 500° C., less than about 400° C., less than about 300° C., less than about 200° C., less than about 150° C. or even less than about 125° C. Temperatures are typically such that the catalyst does not decompose.
  • the deposition process can be performed at temperatures greater than about 100° C., for example with trimethyl aluminum (TMA) as a catalyst.
  • TMA trimethyl aluminum
  • the pulse time for the reactants may be from about 0.1 to about 10 seconds, and the purge time between reactant pulses may also be from about 0.1 to about 10 seconds. In some embodiments the pulse time for the reactants may be longer than about 10 seconds. In some embodiments the pulse time may be from about 0.1 to about 15 seconds or more, or from about 0.1 to about 20 seconds or more. In some embodiments the pulse time may be from about 10 to about 20 seconds or more. In some embodiments, the purge time between reactant pulses may be longer than about 10 seconds, such as about 15 seconds or about 20 seconds. In some embodiments the purge time may be from about 0.1 to about 15 seconds or more or from about 0.1 to about 20 seconds or more. In some embodiments the purge time may be from about 10 to about 20 seconds or more.
  • the pressure in the reaction chamber is typically from about 0.1 mTorr to about 5 Torr, more preferably from about 0.1 mTorr to about 3 Torr, and most preferably 0.2 mTorr to about 3 Torr. However, in some cases the pressure will be higher or lower than this range, as can be readily determined by the skilled artisan.
  • silicon oxide such as silicon dioxide, for example SiO 2
  • silicon oxide is deposited on a dielectric surface of a substrate relative to a passivated metal surface at a temperature of about 150° C.
  • Trimethyl aluminum (TMA) is pulsed into the reaction chamber for 150 ms, followed by a 3 s purge. TPS is then pulsed into the reaction chamber for 100 s, followed by a 90 s purge.
  • the substrate may be subjected to a post-deposition cleaning step to remove the polymer passivation layer from the metal surfaces, as mentioned above (See, e.g., FIG. 2F ).
  • the cleaning step may comprise etching.
  • the cleaning step may comprise plasma etching.
  • the cleaning step may comprise H 2 plasma treatment.
  • the cleaning step is carried out at a temperature of about room temperature to about 400° C.
  • plasma power of about 25 to about 250 W may be used to generate a plasma in flowing H 2 , for example at a flow rate of about 10 to about 500 sccm.
  • the clean time after deposition of the silicon oxide layer may be, for example, from about 0.1 to about 600 seconds or more in some embodiments.
  • a thin silicon oxide film is selectively deposited on a dielectric surface of a three-dimensional structure relative to one or more passivated metal surfaces.
  • the three-dimensional structure may comprise, for example, a via or a trench.
  • metal surfaces may be selectively passivated and an aluminum catalyst deposited on dielectric surfaces prior to depositing the silicon oxide film.
  • the aluminum content in the deposited silicon oxide film is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %.
  • Selective passivation and/or selective deposition can be fully selective or partially selective.
  • a partially selective process can be followed by a post-deposition etch that removes some or all of the deposited material from over one surface without removing all of the deposited material from over a second surface, resulting in a fully selective layer.
  • the selective deposition need not be fully selective in order to obtain the desired benefits.
  • Selectivity of deposition (or passivation) on a first surface, here referred to as surface A, relative to a second surface, referred to as surface B, can be given as a percentage calculated by [(deposition on surface A) ⁇ (deposition on surface B)]/(deposition on the surface A).
  • Deposition can be measured in any of a variety of ways. For example, deposition may be given as the measured thickness of the deposited material, or may be given as the measured amount of material deposited.
  • one surface (A) can be selectively passivated relative to a second surface (B). With respect to passivation, if the passivation results from treatment of the substrate surface rather than deposition of a layer, the amount of passivation can be a measure of available reactive sites on the substrate surface that have reacted with the passivation agent.
  • selectivity for the selective formation of the passivation layer (or silylation) on a dielectric surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • selectivity for the selective formation of the polymer passivation layer on a metal surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • deposition of the catalyst on a dielectric surface relative to a polymer passivated metal surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • deposition of the catalyst on a dielectric surface relative to an unpassivated metal surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • selectivity of deposition of silicon oxide on a catalyzed dielectric surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • deposition only occurs on one surface and does not occur on the other surface.
  • passivation of a dielectric surface by silylation relative to a metal or metallic surface of the substrate is at least about 80% selective. In some embodiments, the passivation process is at least about 50% selective. In some embodiments the passivation process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective passivation of the oxide surface by a post-deposition etch that removes any silylation from the other surface.
  • passivation of a metal surface by formation of a polymer layer thereon relative to a dielectric surface of the substrate is at least about 80% selective. In some embodiments, the passivation process is at least about 50% selective. In some embodiments the passivation process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective passivation of the metal surface by a post-deposition etch that removes any polymer from the other surface.
  • deposition of a catalyst on a dielectric surface relative to a passivated metal surface of the substrate is at least about 80% selective. In some embodiments, the catalyst deposition process is at least about 50% selective. In some embodiments the catalyst deposition process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective deposition on the dielectric surface by a post-deposition etch that removes any catalyst from the metal surface.
  • deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 80% selective. In some embodiments, deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 50% selective. In some embodiments deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 10% selective.
  • a partially selective process can be followed by a post-deposition etch (or other treatment) that removes substantially all of the deposited material from over the metal surface. Furthermore, the post-deposition treatment can also aid in tailoring the position and/or profile of the selectively deposited layer.
  • FIGS. 2A-2F schematically illustrate an embodiment for selective passivation 230 of a first dielectric surface 220 relative to a second metal or metallic surface 210 , selective polymer passivation 240 of the metal surface 210 relative to the dielectric surface 220 , followed by selective deposition of silicon oxide 260 on the dielectric surface 220 relative to the polymer passivated metal surface.
  • FIG. 2A illustrates a substrate having materially different surfaces exposed.
  • the first surface can comprise or be defined by a dielectric material 220 , such as a silicon oxide-based layer or a silicon surface having native oxide formed thereover.
  • the second surface can comprise or be defined by a metal 210 , such as copper (Cu).
  • FIG. 2B shows the substrate of FIG. 2A after selective passivation 230 of the dielectric surface 220 , such as by silylation.
  • a passivation layer 230 may be formed selectively on the dielectric surface 220 by exposing the substrate to a silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • TMS-A allyltrimethylsilane
  • TMS-Cl chlorotrimethylsilane
  • TMS-Im N-(trimethyl silyl)imidazole
  • OTCS octadecyltrichlorosilane
  • HMDS hexa
  • FIG. 2C shows the substrate of FIG. 2B after selective deposition of a polymer passivation layer 240 on the metal surface, such as by formation of a SAM or a polyimide layer.
  • FIG. 2D shows the substrate of FIG. 2C following selective deposition of an aluminum catalyst 250 on the dielectric surface 220 relative to the polymer passivation layer 240 on the metal surface 210 .
  • the aluminum catalyst 250 may be formed selectively on the dielectric surface 220 by exposing the substrate to an aluminum reactant such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl 3 ), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • TMA trimethyl aluminum
  • AlCl 3 aluminum trichloride
  • DMAI dimethylaluminum isopropoxide
  • TTBA tris(tertbutyl)aluminum
  • TIPA tris(isopropoxide)aluminum
  • TIPA triethyl aluminum
  • FIG. 2E shows the substrate of FIG. 2D following selective deposition of silicon oxide 260 on the catalyzed dielectric surface relative to the polymer passivated metal surface.
  • the silicon oxide 260 is formed by exposing the substrate to a silanol reactant, such as tris(tert-pentoxy)silanol.
  • the silanol reactant may decompose on the aluminum atoms on the catalyzed dielectric surface, leading to the deposition of silicon oxide 260 on the dielectric surface 220 .
  • the aluminum content, or other metal content from the catalyst in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. %, or less than about 1 at. %.
  • any silicon oxide deposited on the metal layer, such as on the polymer passivated metal layer, can be removed by a post deposition treatment, such as an etch back process. Because the silicon oxide is deposited selectively on the dielectric surface, any silicon oxide left on the polymer passivation surface will be thinner than the silicon oxide formed on the dielectric surface. Accordingly, the post deposition treatment can be controlled to remove all of the silicon oxide over the metal surface without removing all of the silicon oxide from over the dielectric surface. Repeated selective deposition and etching back in this manner can result in an increasing thickness of the silicon oxide on the dielectric surface with each cycle of deposition and etch.
  • FIG. 2F shows the substrate of FIG. 2E after a post deposition treatment to remove the polymer passivation layer 240 from the metal surface 210 , such as by an etch process.
  • the etch process may comprise exposing the substrate to a plasma.
  • the plasma may comprise oxygen atoms, oxygen radicals, oxygen plasma, or combinations thereof.
  • the plasma may comprise hydrogen atoms, hydrogen radicals, hydrogen plasma, or combinations thereof.
  • the plasma may comprise noble gas species, for example Ar or He species.
  • the plasma may consist essentially of noble gas species.
  • the plasma may comprise other species, for example nitrogen atoms, nitrogen radicals, nitrogen plasma, or combinations thereof.
  • the etch process may comprise exposing the substrate to an etchant comprising oxygen, for example O 3 .
  • the substrate may be exposed to an etchant at a temperature of between about 30° C. and about 500° C., or between about 100° C. and about 400° C.
  • the etchant may be supplied in one continuous pulse or may be supplied in multiple pulses. The removal of the polymer passivation layer can be used to lift-off any remaining metal oxide from over the metal layer, either in a complete removal of the polymer passivation layer or in a partial removal of the polymer passivation layer in a cyclical selective deposition and removal.
  • Additional treatments can be conducted prior to, after or between the foregoing processes.
  • treatments may modify the surfaces or remove portions of the metal, silicon oxide, passivation and metal oxide surfaces exposed at various stages of the process.
  • the substrate may be pretreated or cleaned prior to or at the beginning of the process.
  • the substrate may be subjected to a plasma cleaning process, as mentioned above.

Abstract

Methods for selective deposition of silicon oxide films on dielectric surfaces relative to metal surfaces are provided. A metal surface of a substrate may be selectively passivated relative to the dielectric surface, such as with a polyimide layer or thiol SAM. Silicon oxide is selectively deposited on the dielectric surface relative to the passivated metal surface by contacting the dielectric surface with a metal catalyst and a silicon precursor comprising a silanol.

Description

    REFERENCE TO RELATED APPLICATION
  • This application claims priority to U.S. Provisional Application No. 63/002,136, filed on Mar. 30, 2020, which is incorporated by reference herein in its entirety.
  • BACKGROUND Field
  • The present disclosure relates generally to selective deposition of silicon oxide on a first dielectric surface relative to a second metal or metallic surface of a substrate.
  • Description of the Related Art
  • The shrinking device dimensions in semiconductor manufacturing call for new innovative processing approaches. Conventionally, patterning in semiconductor processing involves subtractive processes, in which blanket layers are deposited, masked by photolithographic techniques, and etched through openings in the mask. Additive patterning is also known, in which masking steps precede deposition of the materials of interest, such as patterning using lift-off techniques or damascene processing. In most cases, expensive multi-step lithographic techniques are applied for patterning.
  • Patterning could be simplified by selective deposition, which has received increasing interest among semiconductor manufacturers. Selective deposition would be highly beneficial in various ways. Significantly, it could allow a decrease in lithography steps, reducing the cost of processing. Selective deposition could also enable enhanced scaling in narrow structures.
  • Thin films comprising silicon dioxide are used in many different applications in microelectronic devices, for example, as dielectric materials. Silicon dioxide is one of the most commonly used dielectric materials in silicon microelectronic devices.
  • SUMMARY
  • In some aspects, methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate are provided. In some embodiments, methods of selectively depositing silicon oxide on the dielectric surface of the substrate relative to the metal surface of the substrate comprise, in order: selectively passivating the dielectric surface relative to the metal surface; selectively forming a polymer passivation layer on the metal surface; contacting the dielectric surface with a metal catalyst; and contacting the dielectric surface with a silicon reactant comprising a silanol
  • In some embodiments, the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, and Mo. In some embodiments, the dielectric surface comprises silicon oxide. In some embodiments, selectively passivating the dielectric surface comprises contacting the dielectric surface with a silylating agent. In some embodiments, the silylating agent comprises an alkylaminosilane. In some embodiments, the alkylaminosilane has the formula (RI)3Si(NRIIRIII), wherein RI is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, RII is a linear or branched C1-C5 alkyl group, a linear or branched C1-C4 alkyl group, or hydrogen, and RIII is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group. In some embodiments, the silylating agent comprises allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • In some embodiments, methods of selectively depositing silicon oxide on the dielectric surface of the substrate relative to the metal surface of the substrate further comprise treating the dielectric surface with plasma after selectively forming the polymer passivation layer on the metal surface and prior to contacting the dielectric surface with the metal catalyst. In some embodiments, the plasma comprises H2 plasma.
  • In some embodiments, the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments, the metal catalyst comprises a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments, the metal catalyst is a metal halide, organometallic compound, or metalorganic compound. In some embodiments, the silicon reactant comprises tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), or tris(tert-pentoxy)silanol (TPS). In some embodiments, the polymer passivation layer comprises a self-assembled monolayer (SAM). In some embodiments, a SAM is formed by exposing the substrate to a thiol polymer. In some embodiments, the polymer passivation layer comprises a polyimide layer.
  • In some embodiments, the selectivity of deposition of silicon oxide on the catalyzed dielectric surface relative to the passivated metal surface is greater than about 50%.
  • In some embodiments, methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate are provided, which comprise: selectively forming a polymer passivation layer on the metal surface, and conducting one or more silicon oxide deposition cycles comprising alternately and sequentially contacting the substrate with a metal catalyst and a silanol. In some embodiments, the methods of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate additionally comprise contacting the dielectric surface with a silylating agent prior to selectively forming the polymer passivation layer on the metal surface.
  • In some embodiments, the silicon oxide deposition cycle is repeated two or more times in a row. In some embodiments, the substrate is contacted with the silanol two or more times in at least one silicon oxide deposition cycle.
  • In some embodiments, the silylating agent comprises alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). In some embodiments, the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments, the metal catalyst is a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments, the metal catalyst is a metal halide, organometallic compound or metalorganic compound. In some embodiments, the silane is tris(tert-pentoxy)silanol (TPS). In some embodiments, the polymer passivation layer comprises a polyimide layer. In some embodiments, the polymer passivation layer is a thiol SAM.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flow chart illustrating a deposition process for selectively depositing silicon oxide on a dielectric surface relative to a metal surface.
  • FIG. 2A is a schematic cross section of a portion of a substrate having first dielectric surface and a second adjacent metal surface.
  • FIG. 2B is a schematic cross section of the substrate of FIG. 2A after selective treatment of the dielectric surface.
  • FIG. 2C is a schematic cross section of the substrate of FIG. 2B after selective passivation of the metal surface.
  • FIG. 2D is a schematic cross section of the substrate of FIG. 2C after selective deposition of an aluminum catalyst on the dielectric surface.
  • FIG. 2E is a schematic cross section of the substrate of FIG. 2D after selective deposition of silicon oxide on the dielectric surface.
  • FIG. 2F is a schematic cross section of the substrate of FIG. 2E after removal of the polymer passivation material from the metal surface.
  • DETAILED DESCRIPTION
  • The silicon oxide films, such as silicon dioxide films, for example SiO2 films, formed by the methods described herein can be used in a variety of contexts. Silicon oxide films, such as silicon dioxide films, for example SiO2 films, are used, for example, in a wide variety of semiconductor devices, including CMOS, DRAM, flash, and magnetic head applications. Silicon oxide, such as silicon dioxide, for example SiO2, is also commonly used as a gate dielectric for CMOS, as an electrical isolation layer, and gap filling layer. Silicon oxide films, such as silicon dioxide films, for example SiO2 films, can be selectively deposited on dielectric surfaces relative to metal surfaces on a substrate by the methods described herein. In some embodiments, silicon oxide is selectively deposited over a first dielectric surface, such as an oxide surface, relative to a second metal (or metallic) surface through the use of a passivation agent in combination with a catalyst. In some embodiments the dielectric surface is also functionalized prior to selective deposition of the silicon oxide.
  • In embodiments, the dielectric surface may be selectively passivated or functionalized relative to the metal surface, for example by selectively treating the surface, such as by silylation. In some embodiments the dielectric surface is functionalized by exposure to a silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). In some embodiments the functionalization step may be omitted. In some embodiments the passivation may aid in subsequent selective passivation of the metal surface, as described below. In some embodiments the passivated dielectric surface may be treated, such as with a plasma, to provide the desired surface terminations to facilitate catalyst chemisorption, as described in more detail below.
  • The metal surface is passivated, such as by selectively forming a polymer layer on the metal surface. In some embodiments the silylation of the dielectric surface aids in the selectivity of the formation of the polymer passivation layer on the metal surface.
  • Subsequently, a catalyst is selectively deposited on the dielectric surface relative to the metal surface. In some embodiments the catalyst is selectively chemisorbed on the dielectric surface. The catalyst may be, for example, a metal catalyst as described in more detail below.
  • A silicon oxide layer is then selectively deposited on the dielectric surface relative to the passivated metal surface by contacting the substrate with a silicon reactant such as a silanol. The catalyst prepares the surface for reaction with the silanol that leads to catalytic silicon oxide growth selectively on the dielectric surface of the substrate relative to the passivated metal surface. The silicon oxide layer may be deposited by a cyclical vapor deposition process in which the substrate is alternately contacted with the catalyst and the silanol until a silicon oxide film of a desired thickness has been selectively deposited. Following silicon oxide deposition, the polymer passivation layer on the metal surface may be removed, such as by etching.
  • In some embodiments a dielectric surface, such as an oxide surface, on a substrate is silylated with a silylating agent such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA), a polymer is selectively deposited on a metal surface of the same substrate, a metal catalyst such as an aluminum catalyst is selectively deposited on the dielectric surface of the same substrate, and silicon oxide is subsequently selectively deposited on the dielectric surface of the substrate relative to the passivated metal surface. For example, a silicon oxide layer may be selectively deposited on a dielectric surface, such as a metal oxide surface, a silicon oxide surface or a low k surface, relative to an adjacent metal surface by, for example, silylating the dielectric surface with a silylating agent, using a thiol SAM or polyimide layer to passivate the metal surface, using trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA) as the catalyst, and a using a silanol such as tris(tert-pentoxy) silanol as the silicon reactant. In some embodiments the silylated dielectric surface is plasma treated prior to contacting the surface with the catalyst.
  • In some embodiments a metal or metallic surface of a substrate comprises an elemental metal or metal alloy, while a second, different surface of the substrate comprises a dielectric material, such as an oxide. In some embodiments the dielectric surface and metal surface are adjacent to each other or at least partially adjacent to each other. Examples of possible dielectric materials include silicon oxide based materials, including grown or deposited silicon dioxide, doped and/or porous oxides, native oxide on silicon, etc. In some embodiments the dielectric material comprises a metal oxide. In some embodiments the dielectric material comprises a low k material.
  • The surface of the dielectric material may be selectively functionalized or passivated relative to the metal or metallic surface, such as by selective silylation. In some embodiments the dielectric surface is contacted with a vapor phase passivation agent, such as vapor phase allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMD 5), or N-(trimethylsilyl)dimethylamine (TMSDMA). The substrate may be contacted with a sufficient quantity of the passivation agent and for a sufficient period of time that the dielectric surface is selectively passivated with silicon species. In some embodiments the dielectric surface is not passivated with a self-assembled monolayer (SAM).
  • A polymer passivation layer may be selectively formed on the metal surface relative to the dielectric surface. In some embodiments the passivation agent on the dielectric surface inhibits or prevents formation of the polymer passivation layer on the dielectric surface.
  • A plasma treatment may be used to activate the dielectric surface. For example, the silylated dielectric surface may be exposed to a H2 plasma.
  • A catalyst is selectively formed on the dielectric surface relative to the passivated metal surface, such as by contacting the substrate with a catalyst compound. Such a surface may be referred to as a “catalyzed dielectric surface” herein. In some embodiments, the catalyst is a metal catalyst. In some embodiments the substrate is contacted with a metal catalyst as described below. The catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments the catalyst is a metal halide, organometallic or metalorganic compound. In some embodiments the catalyst may be a metal oxide. In some embodiments the metal catalyst is an aluminum catalyst comprising trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments the catalyst is a zirconium compound, such as Zr-DO4. In some embodiments the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ). In some embodiments the catalyst is ZrCl4. In some embodiments the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (LA(iPrCp)3). In some embodiments the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4. In some embodiments the catalyst is a gallium compound, such as trimethylgallium (TMG). In some embodiments the catalyst is a hafnium compound, such as HfCl4 or Hf(NO3)4. In some embodiments, the catalyst may be a compound comprising Boron.
  • In some embodiments the catalyst may preferentially deposit on the dielectric surface, for example a functionalized dielectric surface, relative to a passivated metal surface. In some embodiments the catalyst preferentially deposits on a dielectric surface relative to a passivated metal surface. In some embodiments the passivation agent on the metal surface inhibits or prevents deposition of catalyst on the metal surface. In some embodiments a single exposure to the passivation agent may prevent deposition of catalyst on the metal surface for 1, 2, 5, 10, 20, 30, 40 or 50 or more cycles in which the substrate is contacted with the catalyst. In some embodiments the metal surface is not passivated and the catalyst selectively deposits on the dielectric surface in the absence of a passivating material on the metal surface. For example, the catalyst may selectively deposit on a functionalized dielectric surface relative to a metal surface. In some embodiments a catalyst is not utilized.
  • After deposition of the catalyst on the dielectric surface, if utilized, a silicon oxide layer is selectively deposited on the catalyzed dielectric surface relative to the passivated metal surface. For example, the substrate may be exposed to a silicon precursor, such as a silanol. In some embodiments the substrate is exposed to the silicon precursor alone, while in some embodiments the substrate is exposed to the silicon precursor and an oxygen precursor, such as H2O. The silicon precursor may react with the surface comprising the catalyst to form silicon oxide. For example, the substrate may be contacted with a silicon reactant comprising a silanol such that the silanol decomposes at the catalyst atoms on the dielectric surface, resulting in the selective growth of silicon oxide on the dielectric surface relative to the metal surface.
  • In some embodiments the substrate is alternately and sequentially contacted with the dielectric passivation agent, the metal passivation agent, the catalyst and the silanol reactant in one or more deposition cycles. This deposition cycle may be repeated multiple times to selectively deposit a silicon oxide film of a desired thickness on the dielectric surface relative to the metal surface.
  • With reference to FIG. 1, in some embodiments in a complete deposition cycle 100 a substrate comprising a dielectric surface and a metal surface is initially contacted with a first passivation agent 110. The first passivation agent may be, for example, a silylating agent such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). The first passivation agent may passivate or functionalize the dielectric surface. Excess first passivation agent may be removed from the substrate surface. In some embodiments the first passivation agent is provided 110 only once in the deposition process, for example only in the first deposition cycle 100. In some embodiments step 110 is omitted and the first passivation agent is not used.
  • Next, the metal surface is passivated 120 by exposure to one or more second passivation agents, leading to selective formation of a polymer layer on the metal surface. In some embodiments a self-assembled monolayer (SAM) is selectively formed on the metal layer, for example from a vapor-phase dodecanethiol precursor. In some embodiments a polyimide layer is selectively deposited on the metal surface. In some embodiments the metal surface is only passivated 120 in one deposition cycle 100, for example only in the first deposition cycle 100.
  • A silicon oxide deposition sub-cycle 130 is carried out in which the substrate is contacted with the catalyst 140 and a silicon precursor 140, such as a silanol. As mentioned above, in some embodiments the substrate is contacted with an oxygen reactant such as H2O in addition to the silicon reactant. Excess catalyst and silanol may be removed from the substrate surface after each contacting step 140 and 150. The sub-cycle may be repeated 160 multiple times in a single deposition cycle 100. In some embodiments one, two, three, or more silicon oxide deposition sub-cycles in which the substrate is alternately and sequentially contacted with the catalyst 140 and the silanol reactant 150 are carried out in each deposition cycle 100. That is, for each time that the substrate is contacted with the first passivation agent 110 and/or the metal surface is passivated 120, multiple silicon oxide deposition sub-cycles 130 may be carried out. In some embodiments the silicon oxide deposition sub-cycle 130 is repeated up to fifty times prior to commencing another deposition cycle 100 by contacting the substrate with the first passivation agent.
  • In some embodiments the polymer passivation layer on the metal surface is removed 170 prior to beginning a new deposition cycle 100. The polymer passivation layer may be removed, for example, by etching, such as by plasma etching. In some embodiments the polymer passivation layer is not removed in every deposition cycle 100 but is only removed in one or more deposition cycles, such as in the last deposition cycle.
  • The deposition cycle 100 may be repeated until a silicon oxide film of a desired thickness has been selectively formed on the metal surface.
  • In some embodiments the dielectric surface on which the silicon oxide is selectively deposited is at least partially adjacent to the metal or metallic surface that is selectively passivated. For example, at least one portion of a dielectric surface may be adjacent to a metal or metal oxide surface.
  • In some embodiments, as discussed above prior to forming the polymer passivation layer on the metal surface, such as a copper surface, the dielectric surface can be provided with a passivation layer, such as by exposure to a silylating agent. The passivation layer on the dielectric surface may facilitate selectivity for the subsequent passivation of the metal surface by a polymer. In addition, the passivation layer on the dielectric can serve to functionalize the surface such that the catalyst is able to chemisorb on the dielectric surface. In some embodiments the passivation layer on the dielectric surface can be activated, such as by exposure to a plasma reactant, for example H2 plasma. In some embodiments the activation step may be carried out after selective passivation of the metal layer.
  • Examples of suitable reactors that may be used in the selective deposition processes described herein include commercially available atomic layer deposition (ALD) equipment. In addition to ALD reactors, many other kinds of reactors capable of growth of polymer passivation layers, including chemical vapor deposition (CVD) reactors, vapor deposition polymerization (VDP) reactors, and molecular layer deposition (MLD) reactors, can be employed.
  • Substrate Surfaces
  • According to some aspects of the present disclosure, selective deposition can be used to deposit films of interest, such as silicon oxide films, on a dielectric surface preferentially relative to a metal or metallic surface. Such a substrate is illustrated schematically in FIG. 2A. In some embodiments the two surfaces are at least partially adjacent to each other on the substrate, for example as schematically illustrated.
  • In some embodiments selective passivation of the dielectric surface, such as selective silylation of the oxide surface, relative to the metal or metallic surface, followed by selective passivation of the metal or metallic surface such as by formation of a polymer layer, can facilitate subsequent selective deposition of a metal catalyst on the dielectric surface followed by selective deposition of a silicon oxide layer on the dielectric surface relative to the passivated metal surface. The polymer passivation layer may be subsequently removed from the metal layer.
  • In some embodiments, one of the surfaces can be a conductive metal or metallic surface of a substrate, while the other dielectric surface can be a non-conductive oxide surface of the substrate. In some embodiments, the non-conductive dielectric surface comprises —OH groups, such as a silicon oxide-based surface (e.g., low-k materials, including grown and deposited silicon-oxide materials and native oxide over silicon). The dielectric surface can be selectively passivated relative to the metal or metallic surface, such as by exposure to a silylating agent. The surface can subsequently be activated, if necessary, to facilitate selective chemisorption of a metal catalyst on the dielectric layer and subsequently silicon oxide can be selectively deposited on the dielectric surface relative to the metal surface.
  • The material differences between the two substrate surfaces are such that vapor deposition methods can selectively passivate the oxide surface relative to the metal or metallic surface to activate it for catalyzed silicon oxide deposition and also passivate the metal or metal surface to discourage or prevent silicon oxide formation thereon.
  • In some embodiments, cyclical vapor deposition is used, for example, cyclical CVD or atomic layer deposition (ALD) processes.
  • In some embodiments, selectivity for the passivation layer on the dielectric surface, such as by silylation, can be achieved without prior passivation agents on the metal or metallic surface, and/or without catalytic agents on the surface of the dielectric layer to receive more of the passivation layer. For example, in embodiments where a first surface is an oxide and a second surface is a metal, the oxide layer can be selectively silylated relative to the metal or metallic surface without pretreatment of the oxide surface or pretreatment of the metal or metallic surface.
  • In some embodiments, the metal or metallic surface is selectively passivated to inhibit silicon oxide deposition on that surface. For example, a polymer layer can be formed over a metal or metallic surface relative to a dielectric surface, facilitating subsequent selective deposition of silicon oxide on the dielectric surface relative to the polymer-covered metallic surface. In some embodiments the polymer layer can be a self-assembled monolayer (SAM). In some embodiments the polymer layer can be a polyimide layer. After selective deposition of the polymer passivation layer is completed, selective deposition of materials of interest, such as the catalyst and/or the silicon oxide, can be conducted on the dielectric surface relative to the passivated metal surface. The passivation layer can be removed after selective deposition of the silicon oxide on the dielectric surface.
  • As used herein, unless otherwise specified, if a surface is referred to as a metal surface herein, it may be a metal surface or a metallic surface. In some embodiments, the metal or metallic surface may comprise surface oxidation. In some embodiments, the material of the metal surface is electrically conductive with or without surface oxidation. In some embodiments, a metal surface comprises one or more transition metals. In some embodiments, a metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, or Mo. In some embodiments a metal surface comprises Cu. In some embodiments a metal surface is a copper surface. In some embodiments, a metallic surface comprises titanium nitride. In some embodiments, the metal surface comprises one or more noble metals, such as Ru. In some embodiments, the metal surface comprises a metal oxide, such as a conductive metal oxide, metal nitride, metal carbide, metal boride, or combination thereof. For example, the metal or metallic surface may comprise one or more of RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, MoOx, WOx, WNCx, TaN, or TiN.
  • Selective Deposition of a Passivation Layer on a Dielectric Surface Relative to a Metal Surface
  • In some embodiments the oxide (or other dielectric) surface may be passivated such as by silylation. In some embodiments, the passivation is selective for the oxide surface relative to another surface, such as a metal or metallic surface on the same substrate (see, e.g., FIG. 2B). In some embodiments the dielectric surface is passivated by silylation prior to formation of a polymer passivation layer, such as a polyimide passivation layer, on the metal surface. In some embodiments the dielectric surface is not passivated prior to formation of a polymer passivation layer on the metal surface.
  • In some embodiments the treatment of dielectric layer is a dielectric restoration step. Different kinds of silicon containing material restoration steps can be performed before the selective deposition of the polymer passivation layer on the metal surface and before deposition of silicon oxide on the dielectric surface, and after the surface has been cleaned (if carried out).
  • In some embodiments the oxide surface is silylated by exposure to a vapor phase silylating agent one or more times. For example, in a passivation step a silylating agent may be conducted in the reaction space and contacted with the substrate surface. The silylating agent may be, for example, a chlorosilane, alkoxysilane, silylhalide, silylcyanate, silylazide, silylisocyanate, silylisothiocyanate, silylsulfonate, silylacetamide, silylcarbodiimide, allysilane, or nitrogen-bearing silane such as a silazane, imidazole or amine. In some embodiments the silylating agent is allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA) and silylation comprises exposing the substrate to one or more pulses of the silylating agent. In some embodiments both the metal or metallic surface and the oxide surface are contacted with the silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA). In some embodiments the oxide surface of a substrate is selectively silylated relative to a metal or metallic surface of the substrate.
  • In some embodiments the silylating agent is an alkylaminosilane. For example, the oxide surface of the substrate may be contacted with an alkylaminosilane having the formula (RI)3Si(NRIIRIII), wherein RI is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, RII is a linear or branched C1-C5 alkyl group, a linear or branched C1-C4 alkyl group, or hydrogen, and RIII is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group. In some embodiments the silylating agent is trimethyl(dimethylamino)silane, trimethyl(diethylamino)silane or trimethyl(ethylaminosilane).
  • In some embodiments the silylating agent is a silane. For example, the dielectric surface may be contacted with a silane having the general formula (RI)3SiA, wherein RI is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, and A is any ligand which is reactive with a silicon containing surface. In some embodiments the silane bonds to the surface through ligand A, or ligand A forms a bond to the surface but then ligand A may migrate away from the surface and/or silane.
  • The silylating agent may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments the silylating agent is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments the silylating agent is provided in 1 to 25 pulses of from about 0.1 to about 60 seconds. In some embodiments the silylating agent is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds or about 25 seconds. In between pulses, the silylating agent may be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. The purge may be, for example for about 1 to 30 seconds or more. Purging the reaction chamber means that vapor phase passivation agent and/or vapor phase byproducts, if any, are removed from the reaction chamber such as by, evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen. In some embodiments the substrate is moved from a reaction space comprising the passivation agent.
  • In some embodiments silylation is accomplished through the reaction of a silicon compound, for instance Cl—Si(CH3)3, with an Si—OH terminated surface of a silicon containing dielectric surface: Si-OH+Cl-Si(CH3)3→Si—O—Si(CH3)3+HCl. Thus, in some embodiments an appropriate surface termination is formed prior to providing the silicon compound. Also the use of silicon compounds with longer carbon containing ligands is possible
  • In some embodiments a silicon containing surface is treated by contacting the silicon containing surface with one or more silanes, such as silane, disilane or trisilane. In some embodiments the silane has the chemical formula SinH2n+2 (n is an integer equal to or greater than 1), or the cyclic silane family and having the chemical formula SinH2n (n is an integer equal to or greater than 3). In some embodiments the silane is disilane Si2H6 or trisilane Si3H8. In some embodiments the silane has the formula: SiHxLy, where L is a ligand selected from the groups including: alkyl, alkenyl, alkynyl, alkoxide, and amine. In some cases, L is a ligand selected from the halide group: F, Cl, Br and I.
  • In some embodiments the silicon containing surface is treated with trimethylchlorosilane (CH3)3SiCl (TMCS) or with other type of alkylhalosilanes having formula R3-xSiXx, wherein x is an integer from 1 to 3 and each R can independently be selected to be C1-C5 hydrocarbon, such as methyl, ethyl, propyl or butyl, preferably methyl, and X is halide, preferably chloride. U.S. Pat. No. 6,391,785 discloses various surface modifications and treatments and is incorporated herein in its entirety. In some embodiments any of the surface modifications or treatments disclosed in U.S. Pat. No. 6,391,785 can be used in the methods disclosed herein.
  • In some embodiments, the temperature of the silylation process may be, for example, from about 50° C. to about 500° C., or about 100° C. to about 300° C.
  • The pressure during the silylation process may be, for example, from about 10−5 to about 760 Torr, or in some embodiments from about 1 to about 10 Torr or about 0.1 to about 10 Torr.
  • In some embodiments the silylated surface is subsequently exposed to a plasma to activate the surface for subsequent chemisorption of the catalyst. In some embodiments the plasma is H2 plasma. The plasma treatment may be carried out prior to or after the formation of a polymer passivation layer on the metal surface.
  • In some embodiments, the silylation process and/or plasma treatment may be carried out in situ, that is in the same reaction chamber as other parts of the deposition process, for example selective deposition of an aluminum catalyst on the dielectric surface relative to the metal surface and/or the subsequent selective deposition of silicon oxide on the dielectric surface relative to the metal surface. However, in some embodiments the silylation and/or plasma treatment may be carried out in a separate reaction chamber from one or more other processing steps. In some embodiments the reaction chamber in which the silylation is carried out is part of a cluster tool, including one or more additional reaction chambers. For example, such a cluster tool may include additional reaction chambers for the plasma treatment of the silylated dielectric surface, for formation of a polymer passivation layer on the metal surface, for the deposition of the aluminum catalyst on the dielectric surface, for the deposition of silicon oxide on the dielectric surface, and/or for etching one or more layers. In some embodiments a cluster tool includes separate modules for pretreatment, silylation of the dielectric surface, formation of a polymer passivation layer on the metal surface, selective deposition of aluminum catalyst, selective deposition of silicon oxide and subsequent post-deposition treatment, such as etching to remove the polymer passivation layer from the metal surface. In some embodiments the same module can be used for two or more processes.
  • In some embodiments, the substrate may be pretreated or cleaned prior to or at the beginning of the deposition process or prior to or after one or more of the steps in the selective deposition processes. In some embodiments, the substrate may be subjected to a plasma cleaning process prior to or at the beginning of the deposition process. In some embodiments, a plasma cleaning process may not include ion bombardment, or may include relatively small amounts of ion bombardment. In some embodiments the substrate surfaces may be exposed to plasma, radicals, excited species, and/or atomic species prior to or at the beginning of the passivation process, and/or the selective metal oxide deposition process. In some embodiments, the substrate surface may be exposed to hydrogen plasma, radicals, or atomic species prior to or at the beginning of the deposition process.
  • In some embodiments the dielectric surface is not passivated prior to selectively depositing the catalyst on the dielectric surface relative to the metal surface.
  • Selective Deposition of a Polymer Passivation Layer on the Metal Surface Relative to the Dielectric Surface
  • A polymer passivation layer can facilitate selective formation of silicon oxide on a dielectric surface relative to a metal surface comprising the polymer passivation layer. In some embodiments the polymer passivation layer may comprise, for example, a self-assembled monolayer (SAM), a polyimide layer or a different polymer, such as a polyester or polyamide like nylon. The polymer passivation layer can serve to inhibit chemisorption of the catalyst and subsequent silicon oxide deposition on a metal or metallic surface, thus facilitating selective deposition of silicon oxide on dielectric surfaces. The term “passivation” is a label and need not imply 100% deactivation of the silicon oxide layer deposition on the metal surface. As noted elsewhere herein, even imperfect selectivity can suffice to obtain a fully selective structure after an etch back process.
  • In some embodiments, the selectively deposited polymer is a polyimide. In some embodiments, the polymer deposited is a polyamide. Other examples of deposited polymers include dimers, trimers, polyurea layers, polythiophene polyurethanes, polythioureas, polyesters, polyimines, other polymeric forms or mixtures of the above materials. Vapor deposited organic materials include polyamic acid, which may be a precursor to polymer formation. The selectively deposited layer can be a mixture including polymer and polyamic acid, which for purposes of the present disclosure will be considered to be a polymer.
  • A polymer passivation layer can be selectively deposited on a metal or metallic surface of a substrate relative to the dielectric surface of the substrate, as illustrated in FIG. 2C. This surface comprising the polymer may be referred to as the passivated metal layer.
  • The polymer passivation layer may be deposited by a variety of methods, including vapor deposition methods such as chemical vapor deposition (CVD), atomic layer deposition (ALD) and molecular layer deposition (MLD) and liquid phase deposition methods. Some exemplary methods for selectively depositing polymer layers are disclosed in Atomic Layer Deposition of Polyimide Thin Films (Putkonen et al. J. Mater. Chem. 2007. 17:664-669) and Organic and Inorganic-Organic Thin Film Structures by Molecular Layer Deposition: A Review (Sundberg and Karppinen. Beilstein J. Nanotechnol. 2014. 5:1104-1136), the entire disclosures of which are incorporated herein by reference in their entirety for all purposes. Further information and examples of selective deposition of polymer layers to serve as the polymer passivation layer are provided below.
  • Various reactants can be used to form a polymer passivation layer by vapor deposition, such as by ALD or CVD.
  • In some embodiments the substrate is contacted with a first reactant and a second reactant. In some embodiments the reactants are provided sequentially. However, in some embodiments the substrate may be contacted with the second reactant prior to being contacted with the first reactant.
  • In some embodiments, the reactants do not contain metal atoms. In some embodiments, the reactants do not contain semimetal atoms. In some embodiments, one of the reactants comprises metal or semimetal atoms. In some embodiments, the reactants contain carbon and hydrogen and one or more of the following elements: N, O, S, P or a halide, such as Cl or F. In some embodiments, the first reactant may comprise, for example, adipoyl chloride (AC).
  • Deposition conditions can differ depending upon the selected reactants and can be optimized upon selection. In some embodiments, the reaction temperature can be selected from the range of about 80° C. to about 250° C. In some embodiments, the reaction chamber pressure may be from about 1 mTorr to about 1000 Torr. In some embodiments, for example where the selectively deposited organic layer comprises polyamide, the reaction temperature can be selected from a range of about 80° C. to about 150° C. In some embodiments where the selectively deposited organic layer comprises polyamide, the reaction temperature may be greater than about 80° C., 90° C., 100° C., 110° C., 120° C., 130° C., 140° C., or 150° C. In some embodiments where the selectively deposited organic layer comprises polyimide, the reaction temperature may be greater than about 160° C., 180° C., 190° C., 200° C., or 210° C.
  • For example, for sequential deposition of polyimide, in some embodiments substrate temperatures can be selected from the range of about 150° C. to about 250° C., or from about 170° C. to about 210° C., and pressures can be selected from the range of about 1 mTorr to about 760 Torr, more particularly between about 100 mTorr to about 100 Torr.
  • In some embodiments, reactants for use in the polymer passivation layer selective deposition processes described herein may have the general formula:

  • R1(NH2)2  (1)
  • wherein R1 may be an aliphatic carbon chain comprising 1-5 carbon atoms, 2-5 carbon atoms, 2-4 carbon atoms, 5 or fewer carbon atoms, 4 or fewer carbon atoms, 3 or fewer carbon atoms, or 2 carbon atoms. In some embodiments, the bonds between carbon atoms in the reactant or precursor may be single bonds, double bonds, triple bonds, or some combination thereof. Thus, in some embodiments a reactant may comprise two amino groups. In some embodiments, the amino groups of a reactant may occupy one or both terminal positions on an aliphatic carbon chain. However, in some embodiments the amino groups of a reactant may not occupy either terminal position on an aliphatic carbon chain. In some embodiments, a reactant may comprise a diamine. In some embodiments, a reactant may comprise an organic precursor selected from the group of 1,2-diaminoethane (l), 1,3-diaminopropane (l), 1,4-diaminobutane(l), 1,5-diaminopentane (l), 1,2-diaminopropane (l), 2,3-butanediamine, 2,2-dimethyl-1,3-propanediamine (l).
  • In some embodiments, reactants for use in the selective deposition processes described herein may have the general formula:

  • R2(COCl)2  (2)
  • wherein R2 may be an aliphatic carbon chain comprising 1-3 carbon atoms, 2-3 carbon atoms, or 3 or fewer carbon atoms. In some embodiments, the bonds between carbon atoms in the reactant or precursor may be single bonds, double bonds, triple bonds, or some combination thereof. In some embodiments, a reactant may comprise a chloride. In some embodiments, a reactant may comprise a diacyl chloride. In some embodiments, a reactant may comprise an organic precursor selected from the group of oxalyl chloride (I), malonyl chloride, and fumaryl chloride.
  • In some embodiments, a reactant comprises an organic precursor selected from the group of 1,4-diisocyanatobutane or 1,4-diisocyanatobenzene. In some embodiments, a reactant comprises an organic precursor selected from the group of terephthaloyl dichloride, alkyldioyl dichlorides, such as hexanedioyl dichloride, octanedioyl dichloride, nonanedioyl dichloride, decanedioyl dichloride, or terephthaloyl dichloride. In some embodiments, a reactant comprises an organic precursor selected from the group of 1,4-diisothiocyanatobenzene or terephthalaldehyde. In some embodiments, a reactant being vaporized can also be a diamine, such as 1,4-diaminobenzene, decane-1,10-diamine, 4-nitrobenzene-1,3-diamine, 4,4′-oxydianiline, or ethylene diamine. In some embodiments, a reactant can be a terephthalic acid bis(2-hydroxyethyl) ester. In some embodiments, a reactant can be a carboxylic acid, for example alkyl-, alkenyl-, alkadienyl-dicarboxylic or tricarboxylic acid, such as ethanedioic acid, propanedioic acid, butanedioic acid, pentanedioic acid or propane-1,2,3-tricarboxylic acid. In some embodiments, a reactant can be an aromatic carboxylic or dicarboxylic acid, such as benzoic acid, benzene-1,2-dicarboxylic acid, benzene-1,4-dicarboxylic acid or benzene-1,3-dicarboxylic acid. In some embodiments, a reactant may comprise one or more OH-groups bonded to a hydrocarbon. In some embodiments, a reactant can be selected from the group of diols, triols, aminophenols such as 4-aminophenol, benzene-1,4-diol or benzene-1,3,5-triol. In some embodiments, a reactant can be 8-quinolinol. In some embodiments, the reactant can comprise alkenylchlorosilanes, like alkenyltrichlorosilanes, such as 7-octenyltrichlorosilane.
  • In some embodiments, a reactant may have a vapor pressure greater than about 0.5 Torr, 0.1 Torr, 0.2 Torr, 0.5 Torr, 1 Torr or greater at a temperature of about 20° C. or room temperature. In some embodiments, a reactant may have a boiling point less than about 400° C., less than about 300° C., less than about 250° C., less than about 200° C., less than about 175° C., less than about 150° C., or less than about 100° C.
  • In some embodiments, the polymer passivation layer on the metal surface comprises a self-assembled monolayer (SAM). For example, a SAM can be selectively formed over the metal surface without forming on the dielectric surface. In some embodiments the SAM is a thiol, or sulfur-containing SAM. In some embodiments, the metal surface may be pretreated with acid treatments prior to SAM formation.
  • In some embodiments a SAM passivation layer may be selectively formed on the metal surface by contacting the substrate with a sulfur-containing monomer, such as 1-dodecanethiol (CH3(CH2)11SH), which can be referred to as a thiol SAM precursor or monomer, or with another SAM monomer such as trichloro(octadecyl)silane.
  • As noted above, any material deposited on the dielectric surface can be removed by an etch back process. In some embodiments, an etch process subsequent to selective deposition of the silicon oxide may remove deposited organic material from both the first surface and the second surface of the substrate. In some embodiments the etch process may be isotropic.
  • In some embodiments, the etch process may remove the same amount, or thickness, of material from the metal and dielectric surfaces. That is, in some embodiments the etch rate of the organic material deposited on the first surface may be substantially similar to the etch rate of the organic material deposited on the second surface. Due to the selective nature of the deposition processes, the amount of organic material deposited on the dielectric surface of the substrate may be substantially less than the amount of material deposited on the metal surface of the substrate. Therefore, an etch process may completely remove deposited organic material from the dielectric surface of the substrate while deposited organic material may remain on the metal surface of the substrate.
  • In some embodiments polymer passivation of the metal surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the metal surface has not been passivated.
  • Selective Deposition of a Catalyst on Dielectric Surfaces Relative to Metal Surfaces
  • A catalyst for the subsequent deposition of silicon oxide can be selectively deposited on the dielectric surface of a substrate relative to the metal surface of the substrate. This surface comprising the catalyst may be referred to as the catalyzed dielectric surface. In some embodiments passivation of the dielectric surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the dielectric surface has not been passivated. In some embodiments passivation of the metal surface is not necessary and the catalyst is selectively deposited on the dielectric surface relative to the metal surface, where the metal surface has not been passivated. However, in some embodiments the selective deposition of the catalyst is facilitated or improved by the passivation of the dielectric surface as described above and/or the passivation of the metal surface as described above. Thus, in some embodiments, the catalyst is selectively deposited on a passivated dielectric surface relative to a passivated metal surface. In some embodiments a catalyst is not utilized. For example, in some embodiments the substrate surface may itself catalyze silicon oxide deposition without the need for a further catalyst.
  • As shown in FIG. 2D, in some embodiments an aluminum catalyst 250 is selectively deposited on the dielectric surface 220 relative to a metal surface 210. In some embodiments the aluminum catalyst 250 is selectively deposited on a dielectric surface 220 that has been passivated with a silylating compound as described herein relative to a metal surface 210 that has been passivated with a polymer layer 240 as described herein.
  • After optionally selectively forming the passivation layers on the dielectric and metal surfaces, in some embodiments a catalyst is selectively deposited on the dielectric surface by contacting the substrate with a catalyst compound. The catalyst forms up to a molecular layer of catalytic sites on the dielectric surface of the substrate. The catalyst compound preferably catalyzes the formation of silicon oxide from a vapor phase silanol reactant. Briefly, the substrate is exposed to silanol, such as TPS, and a silicon oxide film, such as silicon dioxide film, for example SiO2 film is formed over the dielectric surface, as illustrated in FIG. 2E. The silicon oxide film 260 typically comprises multiple molecular layers. The cycle of exposure to the catalyst and the silanol can be repeated, if necessary, to deposit a silicon dioxide film of a desired thickness. In some embodiments, the concentration of the silanol can be controlled to achieve a desired deposition rate.
  • In some embodiments the catalyst is a metal catalyst. The catalyst may be, for example, a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga. In some embodiments the catalyst is a metal halide, organometallic or metalorganic compound. In some embodiments, the catalyst is a compound comprising boron.
  • In some embodiments the catalyst is an alkylaluminium, alkylboron or alkylzinc compound that is able to react with the hydrophobic surface. For example, the catalyst may comprise trimethyl aluminum (TMA), triethylboron (TEB), or diethyl zinc.
  • In some embodiments the catalyst comprises a compound having the formula MRxA3-x, wherein x is an integer from 1 to 3, R is a C1-C5 alkyl ligand, M is B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga and A is a halide, alkylamine, amino, silyl or derivative thereof. In some embodiments the R is a C1-C3 alkyl ligand. In some embodiment the R is a methyl or ethyl group. In some embodiments the M is boron. In some embodiments the catalyst is ZnRxA2-x, wherein x is an integer from 1 to 2, R is a C1-C5 alkyl ligand, and A is a halide, alkylamine, amino, silyl or derivative thereof. In some such embodiments the R is a C1-C3 alkyl ligand. In some embodiment the R is a methyl or ethyl group.
  • In some embodiments the catalyst is an aluminum catalyst. Examples of Al compounds that can be used include trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). In some embodiments the aluminum catalyst comprises is a heteroleptic aluminum compound. In some embodiments the heteroleptic aluminum compound comprises an alkyl group and another ligand, such as a halide, for example Cl. In some embodiments the aluminum catalyst comprises dimethylaluminumchloride. In some embodiments the aluminum catalyst comprises an alkyl precursor comprising two different alkyl groups as ligands. In some embodiments the aluminum compound is an aluminum isopropoxide. In some embodiments the aluminum catalyst comprises a metalorganic compound. In some embodiments the aluminum catalyst comprises an organometallic compound. In some embodiments the aluminum catalyst is an aluminum compound such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
  • In some embodiments the catalyst is a zirconium compound, such as Zr-DO4. In some embodiments the catalyst is tetrakis(ethylmethylamino)zirconium (TEMAZ). In some embodiments the catalyst is ZrCl4.
  • In some embodiments the catalyst is a lanthanum compound, such as tris(isopropyl-cyclopentadienyl)lanthanum (LA(iPrCp)3).
  • In some embodiments the catalyst is a titanium compound, such as titanium isopropoxide (TTIP) or TiCl4.
  • In some embodiments the catalyst is a gallium compound, such as trimethylgallium (TMG).
  • In some embodiments the catalyst is a hafnium compound, such as HfCl4 or Hf(NO3)4.
  • The catalyst may be provided to the reaction chamber holding the substrate in a single pulse or in a sequence of multiple pulses. In some embodiments the catalyst is provided in a single long pulse or in multiple shorter pulses. The pulses may be provided sequentially. In some embodiments the catalyst is provided in 1 to 25 pulses of from about 0.1 to about 60 seconds. In some embodiments the catalyst is provided in a single pulse of about 0.1 to about 60 seconds, about 1 to 30 seconds or about 25 seconds. In between pulses, excess catalyst may be removed from the reaction space. For example, the reaction chamber may be evacuated and/or purged with an inert gas. The purge may be, for example for about 1 to 30 seconds or more. Purging means that vapor phase catalyst and/or vapor phase byproducts, if any, are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reaction chamber with an inert gas. In some embodiments vapor phase catalyst is removed from the substrate surface by moving the substrate from the reaction space comprising the vapor phase catalyst.
  • In some embodiments, the temperature of the selective catalyst deposition may be, for example, from about 50° C. to about 500° C., or about 100° C. to about 300° C. In some embodiments, the deposition temperature is between about 50° C. and about 400° C. In some embodiments the deposition temperature is greater than about 100° C. and the catalytic chemical is an alkylaluminum compound, such as TMA. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is from about 100° C. to about 400° C., from about 100 to about 200, from about about 200° C. to about 400° C., or from about 250° C. to about 350° C. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is about 150° C. In some embodiments, the alkylaluminum compound is dimethylaluminum isopropoxide (DMAI), and the deposition temperature is about 300° C. In some embodiments the catalytic chemical is an alkylboron compound, such as TEB, and the deposition temperature is between about 50° C. and about 400° C., between about 100° C. and about 350° C., or between about 100° C. and about 300° C. In some embodiments the catalytic chemical is an alkylboron compound and the temperature is greater than about 100° C. In some embodiments the deposition temperature is greater than about 300° C. and the catalytic chemical is TEB. The temperature of the selective catalyst deposition may be selected according to the passivation layer used to allow the use of single deposition temperature.
  • In some embodiments the catalyst comprises a metal compound that is selectively deposited by contacting the substrate with a metal precursor and an oxygen reactant. In some embodiments the catalyst comprises a metal oxide. In some embodiments the metal compound is selectively deposited by an ALD process. In some embodiments the substrate is simultaneously or sequentially contacted with a first metal precursor and a second reactant comprising oxygen in one, two or more deposition cycles. In some embodiments the deposition process comprises a plurality of deposition cycles in which the substrate is alternately and sequentially contacted with the first metal precursor and the second reactant.
  • In some embodiments the first metal precursor is a hydrophobic Lewis acid. The hydrophobic metal reactant may comprise at least one hydrophobic hydrocarbon ligand, such as alkyl, alkenyl, cyclic C3-C8 or aromatic groups. In some embodiments the first metal precursor may be bis(methylcyclopentadienyl)methoxymethyl zirconium.
  • In some embodiments the first metal precursor comprises a transition metal. In some embodiments the first precursor does not comprise a noble metal, such as Ru.
  • In some embodiments the first metal precursor may comprise at least one alkyl ligand, such as a C1-C4 alkyl ligand. In some embodiments the first metal precursor may comprise an organometallic or metalorganic compound. In some embodiments the first metal precursor may comprise at least one cyclopentadienyl (Cp) ligand. In some embodiments the first metal precursor may comprise a formamidinate or an amidinate compound. In some embodiments the first metal precursor may comprise a beta-diketonate compound. In some embodiments the first metal precursor may comprise an alkylamino compound, such as a dialkylamino compound. In some embodiments the first metal precursor may comprise an alkylamino ligand, such as —NMe2, —NEt2 or —NEtMe.
  • In some embodiments the first metal precursor may comprise magnesium. In some embodiments the first metal precursor may be an organometallic or a metalorganic compound comprising magnesium. For example, in some embodiments the first metal precursor may comprise Mg(Cp)2 or a derivative thereof.
  • In some embodiments the first metal precursor may comprise lanthanum. In some embodiments the first metal precursor may be an organometallic compound comprising lanthanum. In some embodiments the first metal precursor may comprise lanthanum formamidinate (La(FAMD)3).
  • In some embodiments the first metal precursor may comprise hafnium. In some embodiments the first metal precursor may comprise an organometallic compound comprising hafnium. For example, in some embodiments the first metal precursor may comprise alkylamino hafnium compound, such as Tetrakis(ethylmethylamino)hafnium (TEMAH, Hf(NEtMe)4) or a derivative thereof.
  • In some embodiments, the first metal precursor has the following formula:

  • MgL2  (I)
  • wherein Mg is magnesium, and wherein each L can be independently selected to be a hydrocarbon group. In some embodiments each L can be linear, branched, cyclic alkyl or unsaturated hydrocarbon group, such as alkenyl, alkynyl, aromatic, cyclopentadienyl, phenyl, cyclooctadienyl, or cycloheptatrienyl group. In some embodiments one or both L can be a cyclopentadienyl group. In some embodiments, one or both L can be a bidentate ligand, such as beta-diketonate, guanidinate or amidinate. In some embodiments, the beta-diketonate ligand can be acetylacetonate or 2,2,6,6-tetramethyl-3,5-heptanedionato (THD).
  • In some embodiments, the first metal precursor is a cyclopentadienyl compound or derivate thereof, such as alkyl-substituted cyclopentadienyl compound and have the following formula:

  • Mg(R1R2R3R4R5Cp)2  (II)
  • wherein each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected to be hydrogen or a substituted or unsubstituted alkyl group. In some embodiments each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected to be hydrogen or a linear or branched C1-C5 alkyl group. In some embodiments each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected to be hydrogen or a C1-C3 alkyl group, such as methyl, ethyl, n-propyl or i-propyl group. In some embodiments the first precursor is Mg(Cp)2.
  • In some embodiments, the first metal precursor comprises one or more ligands, such as cyclopentadienyl (“Cp”) ligands. These first precursor compounds can be selected from a group consisting of the following compounds:

  • (Cp)xLa  (III);

  • (Cp)xLyLa  (IV);

  • (Cp)xWnLa  (V);

  • (CP)xLyWnLa  (VI);
  • La is lanthanum, Cp is a cyclopentadienyl or a cyclooctadienyl group, so that Cp groups in chemical formulas I-IV can be the same as each other or different from one other; x denotes the number of the Cp ligands and it is an integer from 1 up to the oxidation state of La; it should be noted that cyclooctadiene is usually shortened as Cod, but here the presentation is simplified by the use of the single common abbreviation Cp for both cyclopentadienyl and cyclooctadienyl;
  • Ly is a neutral adduct ligand that bounds from one or more of its atoms to the metal and wherein y denotes the number of the bound ligands; and
  • W is some other ligand with a valence of one less than Cp and where n denotes the number of ligands. In some embodiments W is amidinate or formamidinate. In some embodiments W is a beta-diketonate or its corresponding sulfur or nitrogen compound, halide, amide, alkoxide, carboxylate or Schiff's base.
  • In the chemical equations I-IV, the cyclopentadienyl and/or cyclooctadienyl groups can be in the same molecule, so that there is a bridge between two Cp-groups consisting of a substituted or unsubstituted C1-C6 chain that may contain a heteroatom selected from Si, N, P, Se, S or B.
  • In some embodiments L is an independently selected:
  • (i) a hydrocarbon,
  • (ii) a hydrocarbon that contains oxygen,
  • (iii) a hydrocarbon that contains nitrogen,
  • (iv) a hydrocarbon that contains sulfur,
  • (v) a hydrocarbon that contains phosphor,
  • (vi) a hydrocarbon that contains arsenic,
  • (vii) a hydrocarbon that contains selenium and/or
  • (viii) a hydrocarbon that contains tellurium
  • In some embodiments L is and independently selected:
  • (a) amine or polyamine,
  • (b) bipyridine,
  • (c) a ligand according to a chemical diagram:
  • Figure US20210301392A1-20210930-C00001
  • wherein G is —O—, —S—, or —NR1, where R1 is an independently selected hydrogen or substituted or unsubstituted, cyclic, linear or branched, alkyl, alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, cyano or silyl group. A cyclic or aromatic ring in R1 may contain a heteroatom. Hydrogen or a R1-type substituent may also be attached to the carbon atoms in chemical equation V, or
  • (d) ether or thioether.
  • Cyclopentadienyl or cyclooctadienyl groups, Cp in chemical formulas I-IV have the form:

  • Cp′RmHa-m  (VII)
  • wherein m is an integer from 0-8, when a is 8 and m is an integer from 0-5 when a is 5,
  • Cp′ is fused or isolated cyclopentadienyl or cyclooctadienyl, and
  • R is an independently selected hydrocarbon fragment containing 1-6 carbon atoms, such as a C1-C6 hydrocarbon.
  • In some embodiments each R ligand can be the same as each other R ligand, or each R ligand may different from one another. That is, each R ligand can be independently selected. In some embodiments R can be a substituted or unsubstituted, cyclic, linear or branched, alkyl alkenyl, aryl, alkylaryl, arylalkyl, alkoxy, thio, amino, cyano or silyl group. The cyclic or aromatic ring of the substituent may contain a heteroatom. Examples of the substituents are methyl, ethyl, propyl and isopropyl groups.
  • Neutral adduct ligands L shown in chemical equations II and IV can be independently selected ethers, amines or solvent molecules such as tetrahydrofurane that form a bond to the metal with one atom. Examples of suitable neutral adduct ligands that form a bond to a metal with several atoms are polyethers and polyamines.
  • In some embodiments a first metal precursor may comprise at least one cyclopentadienyl ligand and can be written according to Formula VIII:

  • (R1R2R3R4R5Cp)x-MR0 z—(R6)y  (VIII)
  • wherein M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y and lanthanides;
  • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups;
  • wherein R6 is independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups;
      • iv. heterocyclic groups; and
      • v. NR1R2; and
  • wherein both x and y are ≥1 and z≥0.
  • In some embodiments, a first metal precursor comprising a cyclopentadienyl compound comprises at least one ligand that is bonded to a metal via nitrogen as depicted by Formula IX:

  • (R1R2R3R4R5Cp)x-MR0 z—(NR1R2)y  (IX)
  • wherein M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;
  • wherein each of the Ro groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups is independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups; and
  • wherein both x and y are ≥1 and z≥0.
  • In Formula IX, the alkyl, alkenyl and alkynyl groups can be selected from any linear or branched alkyl, alkenyl and alkynyl groups which have 1 to 6 carbon atoms. Examples of such alkyl groups include methyl; ethyl; n- and i-propyl-; n-, i- and t-butyl-; n- and isoamyl; n- and isopentyl; n- and isohexyl; and 2,3-dimethyl-2-butyl. In some embodiments, alkyl groups are used. In other embodiments the C1-6, alkenyl and alkynyl groups include the corresponding groups having a corresponding degree of unsaturation can be used.
  • In some embodiments the first metal precursor is a compound having at least one cyclopentadienyl ligand and at least one chelating ligand, for example, a bidentate ligand. In some embodiments, this compound is depicted by Formula X, (R1R2R3R4R5Cp)x-MR0 z—(NR1NR2R)y, as follows:
  • Figure US20210301392A1-20210930-C00002
  • wherein M is a metal selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;
      • wherein R can be any linear and branched C1-C6 alkyl, alkenyl or alkynyl groups, which are independently substituted or unsubstituted and R can be bonded to two bridging nitrogen atoms any point of alkyl, alkenyl and alkynyl groups;
  • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups; and
  • wherein both x and y are ≥1 and z≥0.
  • In some other embodiments, the first metal precursor can be depicted by Formula XI, (R1R2R3R4R5Cp)x-MR0 z—[(NR1NR2)CNR3]y, as follows:
  • Figure US20210301392A1-20210930-C00003
      • wherein M is a metal, selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;
      • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from
        • i. hydrogen;
        • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
        • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
        • iv. heterocyclic groups; and
      • wherein both x and y are ≥1 and z≥0.
  • In further embodiments, the first metal precursor is depicted by Formula XII, (R1R2R3R4R5Cp)x-MR0 z—[(NR1NR2)CNR3R4]y, as follows:
  • Figure US20210301392A1-20210930-C00004
      • wherein M is a metal, selected from the group consisting of Mg, Sr, Ba, Sc, Y or lanthanides;
  • wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups can be independently selected from:
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and
      • iv. heterocyclic groups; and
  • wherein both x and y are ≥1 and z≥0.
  • In some embodiments, the first metal precursor as described in Formulae VIII-XII may comprise R0, R1, R2, R3, R4, R5, and R6 wherein each of the R0 groups, each of the R1 groups, each of the R2 groups, each of the R3 groups, each of the R4 groups, and each of the R5 groups, and each of the R6 groups can be independently selected from
      • i. hydrogen;
      • ii. linear and branched C1-C6 alkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;
      • iii. carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, and alkylaryl; and
      • iv. heterocyclic groups
  • Optionally, a first metal precursor as described may comprise modified cyclopentadienyl groups. In some embodiments, the modified cyclopentadienyl groups are selected from the group consisting of Me5Cp, MeCp, EtCp, and Me3SiCp. In further embodiments, the first metal precursor may comprise an anionic or dianionic guanidinate ligand such as a triisopropylguandinate ligand.
  • In some embodiments the second reactant comprises oxygen and may be referred to herein as the oxygen precursor, oxygen reactant, oxygen-containing precursor, or oxygen-containing reactant. In some embodiments the second reactant comprises molecular oxygen (O2). In some embodiments the second reactant does not comprise a compound comprising oxygen other than O2. In some embodiments the second reactant does not comprise O3 or H2O. In some embodiments the second reactant does not comprise a plasma, for example an oxygen plasma. In some embodiments the second reactant is supplied with or mixed with inert gas such as Na, He or Ar.
  • In some embodiments the second reactant comprises molecular oxygen and less than about 50%, 25%, 15%, 10%, 5%, 1%, or 0.1% of impurities other than inert gases.
  • In some embodiments, the selective catalyst deposition process may be carried out in situ, that is in the same reaction chamber as prior passivation and/or a subsequent deposition process, for example the subsequent selective deposition of silicon oxide on the dielectric surface. However, in some embodiments the selective catalyst deposition may be carried out in a separate reaction chamber from one or more subsequent processing steps, for example in one chamber that is part of a cluster tool.
  • In some embodiments, the substrate may be pretreated or cleaned prior to or at the beginning of the selective catalyst deposition.
  • Selective Deposition of Silicon Oxide on Catalyzed Dielectric Surfaces Relative to Metal Surfaces
  • Following passivation of the dielectric and/or metal surfaces (if conducted) and selective deposition of the catalyst (if conducted) on the dielectric surface, silicon oxide can be selectively deposited on the dielectric surface of the substrate relative to the metal surface. In some embodiments, silicon oxide is selectively deposited on the dielectric surface by contacting the substrate with a silicon reactant, such as a silanol (see, e.g., FIG. 2E). In some embodiments the substrate surface is contacted with a silicon reactant and an oxygen reactant, such as H2O. The formation of silicon oxide is catalyzed by the presence of the catalyst on the dielectric surface, or, in some embodiments, by the surface itself when a separate catalyst is not employed. In some embodiments, the catalyst is a metal catalyst. In some embodiments, the metal content in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %. In some embodiments, the metal catalyst comprises aluminum. In some embodiments, the aluminum content in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %.
  • One or more silanols can be used as the silicon reactant, such as alkoxysilanols or alkoxysilanediols. In some embodiments the silicon reactant may comprise on or more tris(tert-alkoxy)silanols, di(alkoxy)alkylsilanols, di(alkoxy)silanediols or bis(tert-alkoxy)silanediols. In some embodiments the silanol may be selected from one or more of tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), and tris(tert-pentoxy)silanol (TPS). Silanols are compounds comprising silicon bound to one or more hydroxyl (OH) groups. In some embodiments, the silanols comprise more than one OH— group bonded directly to the silicon atom. Silanol compounds include, without limitation, alkoxysilanols, alkoxyalkylsilanols, and alkoxysilanediols. In some embodiments, the silicon precursor comprises TPS. In some embodiments the silicon source is di(alkoxy)silanediol.
  • In some embodiments only a single silanol pulse is provided after the catalyst has been deposited on the dielectric surface. In some embodiments a single silanol pulse is used to deposit a silicon dioxide film with a thickness of more than 5 angstroms, as measured on the top surface of the dielectric surface on the substrate. As discussed above, in some embodiments the substrate can be contacted with the catalyst and the silanol in one or more silicon oxide deposition sub-cycles. The sub-cycles may be repeated until a silicon oxide film of the desired thickness has been selectively formed over the dielectric surface. In some embodiments a single sub-cycle may be all that is required to obtain a silicon dioxide film of a desired thickness. In other embodiments the steps may be repeated 2, 3, 4, 5, 6, 7, 8, 9, 10 or more times.
  • In some embodiments, more than one silanol pulse is provided in each deposition cycle. For example, a catalyst pulse can be followed by two, three or more silanol pulses. In some embodiments, a catalyst pulse is followed by two silanol pulses. In some embodiments, a single catalyst pulse is followed by at least 10, for example 15 or 20 silanol pulses. Each silanol pulse may be separated by a purge step. In other embodiments, each silanol pulse is provided after a predetermined time delay, without an intervening purge step.
  • Although generally described as beginning with provision of the catalyst, each silicon oxide deposition sub-cycle can begin with either reactant. However, as will be recognized by the skilled artisan, if the first sub-cycle begins with the silanol reactant, deposition may not begin until the second deposition cycle.
  • With respect to the catalyst, surface saturation ensures catalyst occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus ensures excellent step coverage. However, in some embodiments, the catalyst can be provided in a non-saturating or under-saturating dose. Thus, in some embodiments, the dose of the catalyst is metered in order to provide a predetermined amount of catalyst and a predetermined amount of deposition of silicon dioxide.
  • With respect to the silanol reactant, in some embodiments a saturating pulse of silanol is provided. However, because the growth rate of silicon dioxide depends, in part, on diffusion of the precursor through the growing film, the growth rate can be controlled, for example by controlling precursor dose, purge time and/or temperature. Thus, in some embodiments a non-saturating dose of silanol can be provided. In some embodiments the dose of the silanol reactant and/or exposure time may be limited to provide silicon dioxide to a particular thickness and/or to a particular depth in a given reaction cycle.
  • In some embodiments a silicon dioxide thin film is selectively formed on a dielectric surface of a substrate relative to a metal surface by selecting a catalyst that is able to react with the dielectric surface (in view of any treatments it may have received) and carrying out a deposition process comprising one or more silicon dioxide deposition cycles, each silicon dioxide deposition cycle comprising:
      • providing a first vapor phase reactant pulse comprising a metal catalyst into the reaction chamber;
      • removing excess catalyst from the reaction chamber;
      • providing a second vapor phase reactant pulse comprising a silanol to the reaction chamber; and
      • removing excess second reactant and reaction byproducts, if any, from the reaction chamber.
  • In some embodiments a silicon oxide thin film is selectively deposited on one or more dielectric surfaces relative to one or more metal or metallic surfaces, such as a copper, cobalt, titanium nitride or tungsten surfaces.
  • The thickness of the film can be adjusted depending on the particular circumstances. In some embodiments a silicon dioxide film ranging from a few angstroms to a few nanometers is deposited. In some embodiments a thin film of silicon dioxide of less than about 2 nm is deposited. In some embodiments a thin film of silicon dioxide of less than about 3 nm is deposited. In some embodiments one or both of the catalysts and the silanol are underdosed in order to obtain deposition of a film of less than about 2 nm or less than about 3 nm. The thin film may be deposited in one deposition cycle or in multiple deposition cycles.
  • Before starting the deposition of the silicon oxide, the substrate is typically heated to a suitable growth temperature. In some embodiments, the growth temperature of the silicon dioxide thin film is less than about 500° C., less than about 400° C., less than about 300° C., less than about 200° C., less than about 150° C. or even less than about 125° C. Temperatures are typically such that the catalyst does not decompose. In some embodiments the deposition process can be performed at temperatures greater than about 100° C., for example with trimethyl aluminum (TMA) as a catalyst.
  • In some embodiments the pulse time for the reactants may be from about 0.1 to about 10 seconds, and the purge time between reactant pulses may also be from about 0.1 to about 10 seconds. In some embodiments the pulse time for the reactants may be longer than about 10 seconds. In some embodiments the pulse time may be from about 0.1 to about 15 seconds or more, or from about 0.1 to about 20 seconds or more. In some embodiments the pulse time may be from about 10 to about 20 seconds or more. In some embodiments, the purge time between reactant pulses may be longer than about 10 seconds, such as about 15 seconds or about 20 seconds. In some embodiments the purge time may be from about 0.1 to about 15 seconds or more or from about 0.1 to about 20 seconds or more. In some embodiments the purge time may be from about 10 to about 20 seconds or more.
  • The pressure in the reaction chamber is typically from about 0.1 mTorr to about 5 Torr, more preferably from about 0.1 mTorr to about 3 Torr, and most preferably 0.2 mTorr to about 3 Torr. However, in some cases the pressure will be higher or lower than this range, as can be readily determined by the skilled artisan.
  • In one embodiment, in a silicon oxide deposition sub-cycle, silicon oxide, such as silicon dioxide, for example SiO2, is deposited on a dielectric surface of a substrate relative to a passivated metal surface at a temperature of about 150° C. Trimethyl aluminum (TMA) is pulsed into the reaction chamber for 150 ms, followed by a 3 s purge. TPS is then pulsed into the reaction chamber for 100 s, followed by a 90 s purge.
  • Post-Deposition Treatment
  • Following the selective deposition of the silicon oxide, the substrate may be subjected to a post-deposition cleaning step to remove the polymer passivation layer from the metal surfaces, as mentioned above (See, e.g., FIG. 2F). In some embodiments the cleaning step may comprise etching. In some embodiments the cleaning step may comprise plasma etching. In some embodiments the cleaning step may comprise H2 plasma treatment. In some embodiments the cleaning step is carried out at a temperature of about room temperature to about 400° C. In some embodiments plasma power of about 25 to about 250 W may be used to generate a plasma in flowing H2, for example at a flow rate of about 10 to about 500 sccm. The clean time after deposition of the silicon oxide layer may be, for example, from about 0.1 to about 600 seconds or more in some embodiments.
  • In some embodiments a thin silicon oxide film is selectively deposited on a dielectric surface of a three-dimensional structure relative to one or more passivated metal surfaces. The three-dimensional structure may comprise, for example, a via or a trench. In some embodiments metal surfaces may be selectively passivated and an aluminum catalyst deposited on dielectric surfaces prior to depositing the silicon oxide film. In some embodiments, the aluminum content in the deposited silicon oxide film is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. % or less than about 1 at. %.
  • Selectivity
  • Selective passivation and/or selective deposition can be fully selective or partially selective. A partially selective process can be followed by a post-deposition etch that removes some or all of the deposited material from over one surface without removing all of the deposited material from over a second surface, resulting in a fully selective layer. Thus, in some embodiments the selective deposition need not be fully selective in order to obtain the desired benefits.
  • Selectivity of deposition (or passivation) on a first surface, here referred to as surface A, relative to a second surface, referred to as surface B, can be given as a percentage calculated by [(deposition on surface A)−(deposition on surface B)]/(deposition on the surface A). Deposition can be measured in any of a variety of ways. For example, deposition may be given as the measured thickness of the deposited material, or may be given as the measured amount of material deposited. In embodiments described herein, one surface (A) can be selectively passivated relative to a second surface (B). With respect to passivation, if the passivation results from treatment of the substrate surface rather than deposition of a layer, the amount of passivation can be a measure of available reactive sites on the substrate surface that have reacted with the passivation agent.
  • In some embodiments, selectivity for the selective formation of the passivation layer (or silylation) on a dielectric surface (relative to a metal or metallic surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • In some embodiments, selectivity for the selective formation of the polymer passivation layer on a metal surface (relative to a dielectric surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • In some embodiments, deposition of the catalyst on a dielectric surface relative to a polymer passivated metal surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • In some embodiments, deposition of the catalyst on a dielectric surface relative to an unpassivated metal surface is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • In some embodiments, selectivity of deposition of silicon oxide on a catalyzed dielectric surface (relative to a passivated or unpassivated metal surface) is greater than about 10%, greater than about 50%, greater than about 75%, greater than about 85%, greater than about 90%, greater than about 93%, greater than about 95%, greater than about 98%, greater than about 99% or even greater than about 99.5%.
  • In some embodiments, deposition only occurs on one surface and does not occur on the other surface.
  • In some embodiments, passivation of a dielectric surface by silylation relative to a metal or metallic surface of the substrate is at least about 80% selective. In some embodiments, the passivation process is at least about 50% selective. In some embodiments the passivation process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective passivation of the oxide surface by a post-deposition etch that removes any silylation from the other surface.
  • In some embodiments, passivation of a metal surface by formation of a polymer layer thereon relative to a dielectric surface of the substrate is at least about 80% selective. In some embodiments, the passivation process is at least about 50% selective. In some embodiments the passivation process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective passivation of the metal surface by a post-deposition etch that removes any polymer from the other surface.
  • In some embodiments, deposition of a catalyst on a dielectric surface relative to a passivated metal surface of the substrate is at least about 80% selective. In some embodiments, the catalyst deposition process is at least about 50% selective. In some embodiments the catalyst deposition process is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can result in a fully selective deposition on the dielectric surface by a post-deposition etch that removes any catalyst from the metal surface.
  • In some embodiments, deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 80% selective. In some embodiments, deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 50% selective. In some embodiments deposition of silicon oxide on a catalyzed dielectric surface of the substrate relative to a polymer passivated metal surface of the substrate is at least about 10% selective. The skilled artisan will appreciate that a partially selective process can be followed by a post-deposition etch (or other treatment) that removes substantially all of the deposited material from over the metal surface. Furthermore, the post-deposition treatment can also aid in tailoring the position and/or profile of the selectively deposited layer.
  • Selective Deposition of Silicon Oxide on Metal or Metallic Surfaces
  • FIGS. 2A-2F schematically illustrate an embodiment for selective passivation 230 of a first dielectric surface 220 relative to a second metal or metallic surface 210, selective polymer passivation 240 of the metal surface 210 relative to the dielectric surface 220, followed by selective deposition of silicon oxide 260 on the dielectric surface 220 relative to the polymer passivated metal surface.
  • FIG. 2A illustrates a substrate having materially different surfaces exposed. For example, the first surface can comprise or be defined by a dielectric material 220, such as a silicon oxide-based layer or a silicon surface having native oxide formed thereover. The second surface can comprise or be defined by a metal 210, such as copper (Cu).
  • FIG. 2B shows the substrate of FIG. 2A after selective passivation 230 of the dielectric surface 220, such as by silylation. For example, a passivation layer 230 may be formed selectively on the dielectric surface 220 by exposing the substrate to a silylating agent, such as allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethyl silyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethylsilyl)dimethylamine (TMSDMA).
  • FIG. 2C shows the substrate of FIG. 2B after selective deposition of a polymer passivation layer 240 on the metal surface, such as by formation of a SAM or a polyimide layer.
  • FIG. 2D shows the substrate of FIG. 2C following selective deposition of an aluminum catalyst 250 on the dielectric surface 220 relative to the polymer passivation layer 240 on the metal surface 210. The aluminum catalyst 250 may be formed selectively on the dielectric surface 220 by exposing the substrate to an aluminum reactant such as trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tertbutyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA). Although illustrated with an aluminum catalyst 250, in other embodiments metal catalysts comprising other metals may be used.
  • FIG. 2E shows the substrate of FIG. 2D following selective deposition of silicon oxide 260 on the catalyzed dielectric surface relative to the polymer passivated metal surface. In some embodiments the silicon oxide 260 is formed by exposing the substrate to a silanol reactant, such as tris(tert-pentoxy)silanol. The silanol reactant may decompose on the aluminum atoms on the catalyzed dielectric surface, leading to the deposition of silicon oxide 260 on the dielectric surface 220. In some embodiments, the aluminum content, or other metal content from the catalyst in the deposited silicon oxide is less than about 3 at. %, less than about 2.5 at. %, less than about 1.5 at. %, or less than about 1 at. %.
  • As noted above, any silicon oxide deposited on the metal layer, such as on the polymer passivated metal layer, can be removed by a post deposition treatment, such as an etch back process. Because the silicon oxide is deposited selectively on the dielectric surface, any silicon oxide left on the polymer passivation surface will be thinner than the silicon oxide formed on the dielectric surface. Accordingly, the post deposition treatment can be controlled to remove all of the silicon oxide over the metal surface without removing all of the silicon oxide from over the dielectric surface. Repeated selective deposition and etching back in this manner can result in an increasing thickness of the silicon oxide on the dielectric surface with each cycle of deposition and etch. Repeated selective deposition and etching back in this manner can also result in increased overall selectivity of the silicon oxide on the dielectric surface, as each cycle of deposition and etch leaves a clean passivation layer over which the selective silicon oxide deposition nucleates poorly. In other embodiments, silicon oxide over the metal surface may be removed during subsequent removal of the polymer passivation layer.
  • FIG. 2F shows the substrate of FIG. 2E after a post deposition treatment to remove the polymer passivation layer 240 from the metal surface 210, such as by an etch process. In some embodiments, the etch process may comprise exposing the substrate to a plasma. In some embodiments, the plasma may comprise oxygen atoms, oxygen radicals, oxygen plasma, or combinations thereof. In some embodiments, the plasma may comprise hydrogen atoms, hydrogen radicals, hydrogen plasma, or combinations thereof. In some embodiments, the plasma may comprise noble gas species, for example Ar or He species. In some embodiments the plasma may consist essentially of noble gas species. In some instances, the plasma may comprise other species, for example nitrogen atoms, nitrogen radicals, nitrogen plasma, or combinations thereof. In some embodiments, the etch process may comprise exposing the substrate to an etchant comprising oxygen, for example O3. In some embodiments, the substrate may be exposed to an etchant at a temperature of between about 30° C. and about 500° C., or between about 100° C. and about 400° C. In some embodiments, the etchant may be supplied in one continuous pulse or may be supplied in multiple pulses. The removal of the polymer passivation layer can be used to lift-off any remaining metal oxide from over the metal layer, either in a complete removal of the polymer passivation layer or in a partial removal of the polymer passivation layer in a cyclical selective deposition and removal.
  • Additional treatments, such as heat or chemical treatment, can be conducted prior to, after or between the foregoing processes. For example, treatments may modify the surfaces or remove portions of the metal, silicon oxide, passivation and metal oxide surfaces exposed at various stages of the process. In some embodiments the substrate may be pretreated or cleaned prior to or at the beginning of the process. In some embodiments, the substrate may be subjected to a plasma cleaning process, as mentioned above.
  • Although certain embodiments and examples have been discussed, it will be understood by those skilled in the art that the scope of the claims extend beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof.

Claims (20)

What is claimed is:
1. A method of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate, the method comprising, in order:
selectively passivating the dielectric surface relative to the metal surface;
selectively forming a polymer passivation layer on the metal surface;
contacting the dielectric surface with a metal catalyst; and
contacting the dielectric surface with a silicon reactant comprising a silanol.
2. The method of claim 1, wherein the metal surface comprises one or more of Al, Cu, Co, Ni, W, Nb, Fe, and Mo.
3. The method of claim 1, wherein the dielectric surface comprises silicon oxide.
4. The method of claim 1, wherein selectively passivating the dielectric surface comprises contacting the dielectric surface with a silylating agent.
5. The method of claim 4, wherein the silylating agent comprises an alkylaminosilane.
6. The method of claim 4, wherein the silylating agent comprises allyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethyl silyl)dimethylamine (TMSDMA).
7. The method of claim 1, additionally comprising treating the dielectric surface with plasma after selectively forming the polymer passivation layer on the metal surface and prior to contacting the dielectric surface with the metal catalyst.
8. The method of claim 1, wherein the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
9. The method of claim 1, wherein the metal catalyst is a metal compound comprising Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, or Ga.
10. The method of claim 1, wherein the silicon reactant comprises tris(tert-butoxy)silanol (TBS), tris(isopropoxy)silanol (TIS), or tris(tert-pentoxy)silanol (TPS).
11. The method of claim 1, wherein the polymer passivation layer formed on the metal surface comprises a self-assembled monolayer (SAM) or a polyimide layer.
12. The method of claim 1, wherein the selectivity of deposition of silicon oxide on the catalyzed dielectric surface relative to the metal surface on which the polymer passivation layer has been formed is greater than about 50%.
13. A method of selectively depositing silicon oxide on a dielectric surface of a substrate relative to a metal surface of the substrate comprising:
selectively forming a polymer passivation layer on the metal surface, and
conducting one or more silicon oxide deposition cycles comprising alternately and sequentially contacting the substrate with a metal catalyst and a silanol.
14. The method of claim 13, additionally comprising contacting the dielectric surface with a silylating agent prior to selectively forming the polymer passivation layer on the metal surface.
15. The method of claim 14, wherein the silylating agent comprises alyltrimethylsilane (TMS-A), chlorotrimethylsilane (TMS-Cl), N-(trimethylsilyl)imidazole (TMS-Im), octadecyltrichlorosilane (ODTCS), hexamethyldisilazane (HMDS), or N-(trimethyl silyl)dimethylamine (TMSDMA).
16. The method of claim 13, wherein the metal catalyst comprises trimethyl aluminum (TMA), dimethylaluminumchloride, aluminum trichloride (AlCl3), dimethylaluminum isopropoxide (DMAI), tris(tert-butyl)aluminum (TTBA), tris(isopropoxide)aluminum (TIPA) or triethyl aluminum (TEA).
17. The method of claim 13, wherein the silanol is tris(tert-pentoxy)silanol (TPS).
18. The method of claim 13, wherein the silicon oxide deposition cycle is repeated two or more times in a row.
19. The method of claim 13, wherein the substrate is contacted with the silanol two or more times in at least one silicon oxide deposition cycle.
20. The method of claim 13, wherein the polymer passivation layer comprises a polyimide layer or a thiol SAM.
US17/216,466 2020-03-30 2021-03-29 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces Active 2042-08-25 US11898240B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/216,466 US11898240B2 (en) 2020-03-30 2021-03-29 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063002136P 2020-03-30 2020-03-30
US17/216,466 US11898240B2 (en) 2020-03-30 2021-03-29 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Publications (2)

Publication Number Publication Date
US20210301392A1 true US20210301392A1 (en) 2021-09-30
US11898240B2 US11898240B2 (en) 2024-02-13

Family

ID=77855617

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/216,466 Active 2042-08-25 US11898240B2 (en) 2020-03-30 2021-03-29 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Country Status (4)

Country Link
US (1) US11898240B2 (en)
KR (1) KR20210122693A (en)
CN (1) CN113471059A (en)
TW (1) TW202140833A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US20220344168A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
US11608557B2 (en) * 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11898240B2 (en) * 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
WO2024070858A1 (en) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 Substrate processing method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202212605A (en) * 2020-09-22 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Systems, devices, and methods for depositing a layer comprising a germanium chalcogenide

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030181035A1 (en) * 2001-12-21 2003-09-25 Applied Materials, Inc. Selective deposition of abarrier layer on a metal film
US20060071207A1 (en) * 2004-10-01 2006-04-06 Sharp Laboratories Of America, Inc. Selective deposition of ZnO nanostructures on a silicon substrate using a nickel catalyst and either patterned polysilicon or silicon surface modification
US20090250815A1 (en) * 2008-04-03 2009-10-08 International Business Machines Corporation Surface treatment for selective metal cap applications
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US20200325573A1 (en) * 2019-04-12 2020-10-15 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US10991573B2 (en) * 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US20210301394A1 (en) * 2020-03-30 2021-09-30 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US20230140812A1 (en) * 2021-10-29 2023-05-04 ASM IP Holding, B.V. Selective thermal deposition method
US20230139917A1 (en) * 2021-10-29 2023-05-04 ASM IP Holding, B.V. Selective deposition using thermal and plasma-enhanced process
US11664219B2 (en) * 2019-10-31 2023-05-30 Asm Ip Holding B.V. Selective deposition of SiOC thin films

Family Cites Families (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (en) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc Manufacture of copper-clad laminated sheet
DE4115872A1 (en) 1991-05-15 1992-11-19 Basf Ag METHOD FOR PRODUCING THIN POLYIMIDE PROTECTIVE LAYERS ON CERAMIC SUPRAL LADDERS OR HIGH TEMPERATURE SUPRAL LADDERS
JP3048749B2 (en) 1992-04-28 2000-06-05 キヤノン株式会社 Thin film formation method
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (en) 1999-06-01 2001-01-05 부원영 A Football Game on Online Network
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (en) 1999-10-29 2009-12-09 日本電気株式会社 Method of hydrogenating polycrystalline silicon film and method of manufacturing thin film transistor
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (en) 2000-03-07 2011-06-15 東京エレクトロン株式会社 CVD film forming method
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (en) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 Method for forming tungsten layer by using selective ALD method
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (en) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (en) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (en) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. Method for depositing yttrium oxide and lanthanum oxide thin films
JP2003109941A (en) 2001-09-28 2003-04-11 Canon Inc Plasma treatment device and surface treatment method
KR20030027392A (en) 2001-09-28 2003-04-07 삼성전자주식회사 Method for forming a titanium silicide thin film
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (en) 2002-09-11 2004-12-03 삼성전자주식회사 Semiconductor device having a SiN etch stopper by low temperature ALD and fabricating method the same
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7557229B2 (en) 2002-11-15 2009-07-07 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (en) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 Method of forming a capping layer
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20040266185A1 (en) 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (en) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 Thin film transistor fabricated by plasma deposition process
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (en) 2005-02-04 2009-04-30 パナソニック株式会社 Diffraction element, diffraction element manufacturing method, optical pickup device, and optical disc apparatus
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (en) 2005-06-24 2007-03-19 삼성전자주식회사 Overlay key and method for forming the same, semiconductor device and method for manufacturing the semiconductor device
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (en) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (en) 2006-04-14 2012-09-26 株式会社東芝 Semiconductor device
FR2900276B1 (en) 2006-04-25 2008-09-12 St Microelectronics Sa PEALD DEPOSITION OF A SILICON MATERIAL
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (en) 2006-11-28 2012-02-22 株式会社荏原製作所 Substrate surface treatment method
DE102007004867B4 (en) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (en) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 Methods of preparing thin films by atomic layer deposition using hafnium and zirconium-based precursors
JP2009076590A (en) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc Cleaning method
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P Atomic layer deposition process
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (en) 2007-12-10 2009-10-07 (주)피앤테크 Method of forming SiOC film using precursor for manufacturing SiOC film
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (en) 2008-03-25 2013-05-15 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8183081B2 (en) 2008-07-16 2012-05-22 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
EP2324509A2 (en) 2008-08-27 2011-05-25 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (en) 2009-02-17 2015-10-01 삼성전자주식회사 Method of fabricating semiconductor device having buried wiring
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (en) 2009-07-08 2011-01-27 Renesas Electronics Corp Method of manufacturing semiconductor device
JP5359642B2 (en) 2009-07-22 2013-12-04 東京エレクトロン株式会社 Deposition method
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (en) 2009-09-01 2012-04-13 성균관대학교산학협력단 Method of manufacturing patterned substrate for culturing cells, patterned substrate for culturing cells, patterning method of culturing cells, and patterned cell chip
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (en) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト Manufacturing method of liquid crystal display device
JP5373669B2 (en) 2010-03-05 2013-12-18 東京エレクトロン株式会社 Manufacturing method of semiconductor device
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (en) 2010-06-10 2016-04-11 Asm國際股份有限公司 Method for selectively depositing film on substrate
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (en) 2010-11-19 2012-05-24 株式会社日立国際電気 Method for producing semiconductor device, method for substrate treatment, and device for substrate treatment
CN103476965B (en) 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 By the method for aluminium and silicon precursor depositing Al 2O3/SiO2 lamination
DE102011012515A1 (en) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metal complexes with N-amino-amidinate ligands
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (en) 2011-03-29 2012-10-25 Tokyo Electron Ltd Cleaning method and deposition method
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (en) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 Metal and silicon containing capping layers for interconnects
KR20130007059A (en) 2011-06-28 2013-01-18 삼성전자주식회사 Method for manfacturing semiconductor device
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (en) 2011-09-23 2014-03-05 复旦大学 Method for selectively depositing gate oxides and gate electrodes
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (en) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Atomic layer deposition of antimony oxide films.
TWI541377B (en) 2011-11-04 2016-07-11 Asm國際股份有限公司 Methods for forming doped silicon oxide thin films
KR20130056608A (en) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 Phase-change random access memory device and method of manufacturing the same
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9352944B2 (en) 2012-03-19 2016-05-31 Gray Manufacturing Company, Inc. Control and communication system for a wireless vehicle lift system
JP6020239B2 (en) 2012-04-27 2016-11-02 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (en) 2012-05-28 2016-02-16 東京エレクトロン株式会社 Deposition method
JP5966618B2 (en) 2012-05-28 2016-08-10 東京エレクトロン株式会社 Deposition method
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (en) 2012-07-20 2016-12-07 東京エレクトロン株式会社 Film forming apparatus and film forming method
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (en) 2012-10-31 2014-05-19 Tokyo Electron Ltd Deposition method of polymerized film, environment maintenance method of deposition device, deposition device and manufacturing method of electronic product
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
JP5949586B2 (en) 2013-01-31 2016-07-06 東京エレクトロン株式会社 Raw material gas supply apparatus, film forming apparatus, raw material supply method, and storage medium
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (en) 2013-03-28 2014-10-06 Tokyo Electron Ltd Manufacturing method of hollow structure
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (en) 2013-06-28 2015-01-19 住友電気工業株式会社 Vapor phase growth method
GB2530195B (en) 2013-06-28 2018-12-12 Intel Corp Selective epitaxially grown III-V materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (en) 2013-09-02 2017-04-05 東京エレクトロン株式会社 Film forming method and film forming apparatus
WO2015042486A1 (en) 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
KR102138719B1 (en) 2013-09-27 2020-07-28 인텔 코포레이션 Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (en) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 Copper particle fluid dispersion and method for producing conductive film using the same
TW201525173A (en) 2013-12-09 2015-07-01 Applied Materials Inc Methods of selective layer deposition
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
KR102241166B1 (en) 2013-12-19 2021-04-16 인텔 코포레이션 Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (en) 2014-02-10 2018-05-21 國立清華大學 Inorganic-organic hybrid oxide polymer and manufacturing method thereof
JP6254459B2 (en) 2014-02-27 2017-12-27 東京エレクトロン株式会社 Method for improving chemical resistance of polymerized film, method for forming polymerized film, film forming apparatus, and method for manufacturing electronic product
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (en) 2014-03-27 2019-03-19 英特尔公司 Precursor and technological design for light assistant metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
SG11201606451QA (en) 2014-03-28 2016-09-29 Intel Corp Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9449963B2 (en) 2014-07-03 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with hard mask structure formed thereon and method for forming the same
KR20160031903A (en) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (en) 2015-05-01 2021-10-26 应用材料公司 Selective deposition of thin film dielectrics using surface termination chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
KR102182550B1 (en) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. Method of forming induced self-assembly layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (en) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 Doped selective metal caps to improve copper electromigration with ruthenium liner
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI700750B (en) 2017-01-24 2020-08-01 美商應用材料股份有限公司 Method and apparatus for selective deposition of dielectric films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (en) 2017-03-30 2021-02-24 東京エレクトロン株式会社 Selective growth method
CN110546302B (en) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 Plasma enhanced deposition method for controlled formation of oxygen-containing films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (en) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 Selective PEALD of oxides on dielectrics
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
TW202140833A (en) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030181035A1 (en) * 2001-12-21 2003-09-25 Applied Materials, Inc. Selective deposition of abarrier layer on a metal film
US20060071207A1 (en) * 2004-10-01 2006-04-06 Sharp Laboratories Of America, Inc. Selective deposition of ZnO nanostructures on a silicon substrate using a nickel catalyst and either patterned polysilicon or silicon surface modification
US20090250815A1 (en) * 2008-04-03 2009-10-08 International Business Machines Corporation Surface treatment for selective metal cap applications
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10991573B2 (en) * 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US20200325573A1 (en) * 2019-04-12 2020-10-15 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11664219B2 (en) * 2019-10-31 2023-05-30 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US20210301394A1 (en) * 2020-03-30 2021-09-30 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US20230140812A1 (en) * 2021-10-29 2023-05-04 ASM IP Holding, B.V. Selective thermal deposition method
US20230139917A1 (en) * 2021-10-29 2023-05-04 ASM IP Holding, B.V. Selective deposition using thermal and plasma-enhanced process

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11608557B2 (en) * 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11898240B2 (en) * 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US20220344168A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
US11908701B2 (en) * 2021-04-22 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
WO2024070858A1 (en) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 Substrate processing method

Also Published As

Publication number Publication date
KR20210122693A (en) 2021-10-12
TW202140833A (en) 2021-11-01
CN113471059A (en) 2021-10-01
US11898240B2 (en) 2024-02-13

Similar Documents

Publication Publication Date Title
US11898240B2 (en) Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11213853B2 (en) Selective deposition of metals, metal oxides, and dielectrics
US11830732B2 (en) Selective passivation and selective deposition
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
EP2174942B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
US9938303B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications
EP3594219A1 (en) Organoaminosilane precursors and methods for depositing films comprising same
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US20230140812A1 (en) Selective thermal deposition method
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US20210358745A1 (en) Selective passivation and selective deposition
US11286564B2 (en) Tin-containing precursors and methods of depositing tin-containing films
US20230140367A1 (en) Selective deposition of material comprising silicon and oxygen using plasma
US20220220132A1 (en) Organosilane precursors for ald/cvd/sod of silicon-containing film applications
US20220119939A1 (en) Method of improving step coverage using an inhibitor molecule for high aspect ratio structures
TW202311556A (en) Selective passivation and selective deposition

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: ASM IP HOLDING B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ILLIBERI, ANDRE;ALESSIO VERNI, GIUSEPPE;DENG, SHAOREN;AND OTHERS;SIGNING DATES FROM 20210304 TO 20210316;REEL/FRAME:055805/0237

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE