TWI609494B - 具有摻雜子鰭區域的非平面半導體裝置及其製造方法 - Google Patents

具有摻雜子鰭區域的非平面半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI609494B
TWI609494B TW106112627A TW106112627A TWI609494B TW I609494 B TWI609494 B TW I609494B TW 106112627 A TW106112627 A TW 106112627A TW 106112627 A TW106112627 A TW 106112627A TW I609494 B TWI609494 B TW I609494B
Authority
TW
Taiwan
Prior art keywords
fin
layer
fin portion
integrated circuit
circuit structure
Prior art date
Application number
TW106112627A
Other languages
English (en)
Other versions
TW201727918A (zh
Inventor
塔何 甘尼
莎曼 拉提夫
查南卡 暮那辛菲
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201727918A publication Critical patent/TW201727918A/zh
Application granted granted Critical
Publication of TWI609494B publication Critical patent/TWI609494B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

具有摻雜子鰭區域的非平面半導體裝置及其製造方法
本發明之實施例係在半導體裝置及處理的領域中,且特別地,係具有摻雜子鰭區域的非平面半導體裝置及具有摻雜子鰭區域的非平面半導體裝置之製造方法。
關於過去的數十年,在積體電路中之特徵的縮放已變成不斷成長之半導體產業後面的驅動力。對越變越小之特徵的縮放使半導體晶片之受限的實際所有域上的功能性單元能增加密度。例如,縮減的電晶體尺寸允許晶片上之增大數目的記憶體或邏輯裝置之結合,而適合於具有增大容量之產品的製造。然而,對於更多容量的驅動器並非毫無問題。使各裝置之性能最佳化的必要性變成漸增地重要。
在積體電路裝置的製造中,當裝置尺寸持續縮小比例時,諸如三閘極電晶體之多重閘極電晶體已變成更加流行。在習知處理中,三閘極電晶體係通常製造於巨塊矽基板上或矽在絕緣體上之基板上。在若干情況中,由於其較 低的成本以及與現有高產能之巨塊矽基板基礎結構的可相容性,巨塊矽基板係較佳的。
然而,縮放多重閘極電晶體並非毫無後果。當縮減微電子電路之該等基本建構區塊的尺寸時,以及當增加給定區域中所製造之基本建構區塊的絕對數目時,則在使用以製造該等建構區塊之半導體處理上的約束變成不可抵抗。
100,200‧‧‧巨塊半導體基板
102,202‧‧‧鰭
104‧‧‧硬遮罩層
106‧‧‧墊氧化物層
108,208‧‧‧P型固態摻雜物源層
108’,208’‧‧‧圖案化之P型固態摻雜物源層
110,210,214‧‧‧地表遮罩部分
112‧‧‧抗反射塗佈層
114,209,215‧‧‧絕緣緩衝層或阻隔層
116,216‧‧‧電介質填充層
118‧‧‧遮罩層
120‧‧‧阱及/或逆行佈植操作
122‧‧‧N型摻雜鰭
122’,124’,222’,224’‧‧‧共同摻雜區
124,222‧‧‧P型摻雜子鰭區域
126,223,226,380‧‧‧介面
209’‧‧‧圖案化之絕緣緩衝層或阻隔層
212‧‧‧N型固態摻雜物源層
212’‧‧‧圖案化之N型固態摻雜物源層
224‧‧‧N型摻雜子鰭區域
300‧‧‧半導體結構或裝置
302‧‧‧基板
304‧‧‧凸出鰭部分
304A‧‧‧源極區
304B‧‧‧汲極區
305‧‧‧子鰭區域
306‧‧‧隔離區
308‧‧‧閘極線
314‧‧‧閘極接點
316‧‧‧上方閘極接觸通孔
350‧‧‧閘極電極
352‧‧‧閘極電介質層
354‧‧‧電介質帽蓋層
360‧‧‧上方金屬互連
370‧‧‧層間電介質堆疊或互連
400,402‧‧‧模擬的2D輪廓圖表
500‧‧‧測量的1D:SIMS摻雜物縱剖面
600‧‧‧計算裝置
602‧‧‧板
604‧‧‧處理器
606‧‧‧通訊晶片
第1A至1I圖描繪依據本發明實施例之具有摻雜子鰭區域的非平面半導體裝置之製造方法中之種種操作的橫剖面視圖,其中:第1A圖描繪具有被蝕刻於其中之鰭的巨塊半導體基板;第1B圖描繪被形成於第1A圖之結構上的P型固態摻雜物源層;第1C圖描繪僅被形成於第1B圖之鰭的一部分上之圖案化的遮罩;第1D圖描繪用以形成圖案化的P型固態摻雜物源層之P型固態摻雜物源層108的圖案化;第1E圖描繪與第1D圖之暴露的鰭及圖案化的P型固態摻雜物源層共形之絕緣緩衝層或阻隔層的形成;第1F圖描繪與第1E圖的結構上之電介質填充層的形成及平坦化,用以暴露出鰭的頂部表面;第1G圖描繪專用於NMOS裝置製造之鰭的遮罩,伴 隨有阱及/或逆行佈植操作,用以自暴露之PMOS專用的鰭形成N型摻雜鰭;第1H圖描繪電介質填充層、圖案化的P型固態摻雜物源層、及絕緣緩衝層或阻隔層之凹進,用以暴露出第1G圖之鰭的凸出部分;以及第1I圖描繪驅入退火,用以提供專用於NMOS裝置之鰭的摻雜子鰭區域。
第2A至2I圖描繪依據本發明實施例之具有摻雜子鰭區域的非平面半導體裝置之另一製造方法中之種種操作的橫剖面視圖,其中:第2A圖描繪具有被蝕刻於其中之鰭的巨塊半導體基板;第2B圖描繪被形成於第2A圖之結構上的P型固態摻雜物源層及與該P型固態摻雜物源層共形之絕緣緩衝層或阻隔層的形成;第2C圖描繪僅被形成於第2B圖之鰭的一部分上之圖案化的遮罩和絕緣緩衝層或阻隔層及P型固態摻雜物源層的圖案化;第2D圖描繪被形成於第2C圖之暴露的鰭和圖案化的絕緣緩衝層或阻隔層及圖案化的P型固態摻雜物源層上之N型固態摻雜物源層的形成;第2E圖描繪僅被形成於第2D圖之鰭的一部分上之圖案化的遮罩及N型固態摻雜物源層的圖案化;第2F圖描繪與該N型固態摻雜物源層共形之絕緣緩 衝層或阻隔層的形成;第2G圖描繪第2F圖的結構上之電介質填充層的形成;第2H圖描繪電介質填充層、圖案化的P型固態摻雜物源層、圖案化的N型固態摻雜物源層、及絕緣緩衝層或阻隔層之平坦化及凹進,用以暴露出第2G圖之鰭的凸出部分;以及第2I圖描繪驅入退火,用以提供專用於NMOS及PMOS裝置二者之鰭的摻雜鰭區域。
第3A圖描繪依據本發明實施例之具有具備摻雜子鰭區域的鰭之非平面半導體裝置的橫剖面視圖。
第3B圖描繪依據本發明實施例之沿著第3A圖的半導體裝置之a-a’軸所取得的平面視圖。
第4A圖係依據本發明實施例之模擬的2D輪廓圖表,用以說明對子鰭區域的硼摻雜物局限。
第4B圖係依據本發明實施例之模擬的2D輪廓圖表,用以說明對子鰭區域的磷摻雜物局限。
第5圖係依據本發明實施例之測量的1D SIMS摻雜物縱剖面,用以說明自摻雜絕緣體層至矽基板內之摻雜物的擴散。
第6圖描繪依據本發明之一實施例的計算裝置。
【發明內容與實施方式】
將敘述具有摻雜子鰭區域的非平面半導體裝置及具有 摻雜子鰭區域的非平面半導體裝置之製造方法。在以下說明中,係陳明諸如特定的集成和材料體制之許多特定的細節,以便提供本發明之實施例的完全瞭解。對熟習本項技藝之人士將呈明顯的是,本發明可無需該等特定的細節而予以實施。在其他情況中,為了要免於非必要地使本發明之實施例混淆,諸如積體電路設計佈局之熟知的特徵將不予以詳細敘。再者,應被瞭解的是,在圖式中所示的種種實施例係描繪性的表示,且無需一定要按比例地予以繪製。
在此所敘述之一或多個實施例係針對例如,藉三閘極摻雜之玻璃子鰭向外擴散而選擇性地摻雜被製造在巨塊矽晶圓上之三閘極或FinFET電晶體之子鰭區域的處理。例如,在此所敘述係用以選擇性地摻雜三閘極或FinFET電晶體之子鰭區域以減緩子鰭漏洩而同時保持鰭摻雜低的處理。結合固態摻雜物源(例如,p型及n型摻雜氧化物、氮化物、或碳化物)至從鰭側壁凹進之後的電晶體處理流程內,將遞送阱摻雜至子鰭區域內,且同時保持鰭本體相對地未被摻雜。此外,在實施例中,本文所敘述之一或多個途徑使巨塊鰭之主動部分的底部能與該主動部分和剩餘巨塊部分(例如,在閘極控制區域下方的部分)之間的摻雜邊界自行對齊。
更一般而言,使用巨塊矽以供鰭或三閘極之用,可係所欲的。然而,卻具有使在裝置之主動矽鰭部分下方的區域(子鰭)(例如,閘極控制區域、或HSi)減少或無閘極控 制之利害關係。同樣地,若源極或汲極區係在HSi點或在HSi點下方時,則漏洩路線可穿過子鰭區域而存在。依據本發明之實施例,為了要解決上述問題,足夠的摻雜係穿過子鰭摻雜而加以提供,無需一定要遞送相同位準之摻雜到鰭的HSi部分。
實施例可包含以下特徵或考慮之一或多者:(1)具有高摻雜子鰭區域的低摻雜鰭;(2)做為用於NMOS子鰭區域的摻雜物源之硼摻雜氧化物(例如,BSG)的使用;(3)做為用於PMOS子鰭區域的摻雜物源之磷摻雜氧化物(例如,PSG)或砷摻雜氧化物(例如,AsSG)的使用;(4)低摻雜NMOS鰭/BSG摻雜子鰭加上標準佈植之PMOS鰭(例如,包含圖案化處理以自PMOS結構去除硼摻雜氧化物,而隨後,NMOS阱摻雜係由硼摻雜層所遞送,且PMOS阱摻雜係由習知佈植處理所達成);(5)低摻雜PMOS鰭/PSG或AsSG摻雜子鰭加上標準佈植之NMOS鰭(例如,包含圖案化處理以自NMOS結構去除磷或砷摻雜氧化物,而隨後,PMOS阱摻雜係由磷或砷摻雜層所遞送,且NMOS阱摻雜係由習知佈植處理所達成);(6)藉由BSG/(PSG或AsSG)摻雜子鰭之集成而被形成於相同的晶圓上之低摻雜PMOS及NMOS鰭(例如,包含圖案化處理以積集由BSG摻雜物向外擴散所形成之NMOS子鰭區域及由PSG或AsSG摻雜物向外擴散所形成之PMOS子鰭區域於相同的晶圓上)。此處所敘述之處理可在NMOS及PMOS裝置二者中,使低摻雜NMOS及PMOS鰭能以高的子鰭摻雜予 以製造。更概括地,應被瞭解的是,取代BSG、PSG、或AsSG,N型或P型固態摻雜物源層係分別結合N型或P型摻雜物於其中之電介質層,諸如N型或P型摻雜氧化物、氮化物、或碳化物層,但並未受限於該等者。
謹提供前後關聯,要解決上述問題之習知途徑已包含阱佈植操作的使用,其中子鰭區域係重摻雜(例如,極大於2×1018/cm3),其將切斷子鰭漏洩且亦在鰭中引起實質的摻雜。環形佈植的添加進一步地增加鰭摻雜,以致使排列之鰭的末端被以高位準摻雜(例如,約大於1×1018/cm3)。相較之下,本文所敘述的一或多個實施例在鰭中提供低摻雜,其可係有益的;因為較高的電流驅動係藉由增進載子遷移率而予以致能,但在其他方面,則將由於用於高摻雜通道裝置之游離的雜質散射而被降級。再者,因為臨限電壓(Vt)的隨機變化係直接成比例於摻雜密度的平方根,所以低摻雜裝置亦具有減低Vt中之隨機不匹配的優點。此使產品能在較低電壓中操作,而無功能性之失效。同時,正好在鰭下方之區域(亦即,子鰭)必須被高度摻雜,以便防止子鰭之源極-汲極漏洩。為遞送此摻雜至子鰭區域所使用之習知佈植步驟亦實質地摻雜鰭,而無法達成低摻雜鰭及同時抑制子鰭漏洩。
如下文所更完整敘述地,在此所敘述之一或多個實施例可包含在鰭蝕刻後之沈積在鰭上之固體源摻雜層(例如,硼摻雜氧化物)的使用。稍後,在溝渠填充及研磨之後,該摻雜層係與溝渠填充材料一起凹進,以界定裝置的 鰭高度(HSi)。操作從HSi上方的鰭側壁去除摻雜層。因此,摻雜層僅沿著子鰭區域中之鰭側壁而存在,此確保摻雜配置的精確控制。在驅入退火之後,高摻雜係受限至子鰭區域,而在HSi上方之鰭的鄰近區域中快速地躍遷至低摻雜(其形成電晶體的通道區)。一或多個優點或實施例包含:(1)固態源摻雜層的使用;(2)圖案化以自相反極性裝置去除摻雜層;(3)在一操作中之使溝渠材料及摻雜層凹進的蝕刻操作;(4)增進之電晶體電流驅動及改善的Vt不匹配;(5)自裝置流程完全地去除阱佈植的可能性(在該情況中,固態摻雜的使用提供電晶體間之隔離,且因此,個別的阱形成可不再係必要的)。
在第一實例中,第1A至1I圖描繪依據本發明實施例之具有摻雜子鰭區域的非平面半導體裝置之製造方法中之種種操作的橫剖面視圖。在一特定實施例中,第一代表性處理流程可被敘述成為硼矽酸鹽玻璃(BSG)NMOS及佈植PMOS製造方案。
請參閱第1A圖,諸如巨塊單晶矽基板之巨塊半導體基板100係設置具有被蝕刻於其中的鰭102。在實施例中,該等鰭係直接形成於巨塊基板100中,且同樣地,係延續巨塊基板100而被形成。殘留自鰭102之製造的人工製品亦可存在。例如,如第1A圖中所描繪地,諸如氮化矽硬遮罩層之硬遮罩層104,及諸如二氧化矽層之墊氧化物層106留在鰭102的頂部。在一實施例中,巨塊基板100,且因此,該等鰭102,係在此階段未摻雜或微摻 雜。例如,在特殊的實施例中,巨塊基板100,且因此,該等鰭102,具有小於大約1×1017原子/cm3之硼摻雜物雜質原子的濃度。
請參閱第1B圖,P型固態摻雜物源層108係形成於第1A圖的結構上。在一實施例中,P型固態摻雜物源層108係諸如,但未受限於P型摻雜氧化物、氮化物、或碳化物層之結合P型摻雜物於其中的電介質層。在特定的該實施例中,P型固態摻雜物源層108係硼矽酸鹽玻璃層。P型固態摻雜物源層108可藉由適合以在鰭102上提供共形層的處理而予以形成。例如,在一實施例中,P型固態摻雜物源層108係藉由化學氣相沈積(CVD)處理或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而在第1A圖的整個結構上方被形成為共形層。在特殊的實施例中,P型固態摻雜物源層108係具有硼濃度約在0.1至10重量百分比的範圍中之BSG層。在另一實施例中,可將帽蓋層形成於P型固態摻雜物源層108上,做為在原處形成之帽蓋層,用以在隨後暴露至周遭情形的期間,保護該P型固態摻雜物源層108。在一該實施例中,帽蓋層係氮化物、碳化物、或氧化鋁(Al2O3)帽蓋層。應予以瞭解的是,若有的話,可在與被使用於P型固態摻雜物源層108相同的圖案化操作中,使該帽蓋層圖案化。
請參閱第1C圖,圖案化之遮罩係僅形成於該等鰭102的一部分之上。如將與隨後之處理操作相關聯而予以 敘述地,此遮罩操作致能用於NMOS裝置的鰭與用於PMOS裝置的鰭之間的區別。在一實施例中,該遮罩係由地形遮罩部分110與抗反射塗佈(ARC)層112所構成。在特殊之該實施例中,地形遮罩部分110係碳硬遮罩(CHM)層,以及抗反射塗佈層112係矽ARC層。該地形遮罩部分110及該ARC層112可以以習知的微影術及蝕刻處理技術予以圖案化。
請參閱第1D圖,且在例如,適用於NMOS或PMOS裝置之鰭102的指明上,係自此處以後指示於處理流程中。特別地,請參閱第1D圖,P型固態摻雜物源層108係例如,藉由電漿、氣相、或濕蝕刻處理而予以圖案化,用以形成圖案化之P型固態摻雜物源層108’。而且,所描繪的係抗反射塗佈層112之去除,其亦可使用電漿、氣相、或濕蝕刻處理而予以執行。P型固態摻雜物源層108的圖案化及抗反射塗佈層112之去除可在相同的或不同的處理操作中予以執行。
請參閱第1E圖,地形遮罩部分110被去除,其可使用電漿、氣相、或濕蝕刻處理而予以執行。地形遮罩部分110的去除可在與抗反射塗佈層112之去除相同的處理操作中,或在隨後的處理操作中予以執行。而且,在第1E圖中所描繪之諸如隔離氮化物層的絕緣緩衝層或阻隔層114係與例如,該等暴露之鰭102及該圖案化之P型固態摻雜物源層108’共形而予以形成,用以帽蓋該圖案化之P型固態摻雜物源層108’。絕緣緩衝層或阻隔層114可藉由 適合以在暴露之鰭102及圖案化之P型固態摻雜物源層108’上提供共形層之處理,而予以形成。例如,在一實施例中,絕緣緩衝層或阻隔層114係藉由化學氣相沈積(CVD)處理或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而予以形成。
請參閱第1F圖,電介質填充層116係形成於第1E圖的結構上,且隨後,被平坦化以暴露出鰭102的頂部表面(例如,暴露出NMOS及PMOS專用的鰭102二者)。在一實施例中,電介質填充層116係由諸如被使用於淺溝渠隔離製造處理中的二氧化矽所構成。電介質填充層116可藉由化學氣相沈積(CVD)處理或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而予以沈積,且可藉由化學機械研磨(CMP)技術而予以平坦化。若存在的話,該平坦化亦自鰭102的頂部去除圖案化之P型固態摻雜物源層108’及絕緣緩衝層或阻隔層114之部分。如在第1F圖中亦被描繪地,來自鰭圖案化之諸如硬遮罩層104及墊氧化物層106的任何人工製品可在CMP處理期間予以去除,而暴露出鰭102。在選擇性的實施例中,為了要消除或降低來自鰭之頂部的閘極控制,可將硬遮罩或其他電介質層保留在鰭的頂部上(例如,如在雙閘極裝置對三閘極裝置中)。
請參閱第1G圖,專用於NMOS裝置製造的鰭102係藉由遮罩層118而予以遮罩。在一實施例中,遮罩層118係如本項技藝中所已知地由光阻層所構成,且可藉由習知 之微影術及顯影處理而予以圖案化。在特殊實施例中,所曝光至光源之光阻層的部分係在顯影該光阻層時被去除。因此,圖案化之光阻層係由正光阻材料所構成。在特定實施例中,該光阻層係由諸如,但未受限於,248nm阻體、193nm阻體、157nm阻體、遠紫外光(EUV)阻體、電子束印記層、或具有重氮萘醌增感劑之酚醛樹脂基質的正光阻材料所構成。在另一特殊實施例中,所曝光至光源之光阻層的部分係在顯影該光阻層時被保留。因此,光阻層係由負光阻材料所構成。在特定實施例中,該光阻層係由諸如,但未受限於,由聚異丙烯或聚乙烯醇肉桂酸酯所組成之負光阻材料所構成。
此外,請再參閱第1G圖,阱及/或逆行佈植操作120係執行以自暴露之PMOS專用鰭形成N型摻雜鰭122。暴露之鰭的摻雜可導致巨塊基板部分100之內的摻雜,其中鄰近的鰭122分享巨塊基板100中之共同摻雜區122’。在一實施例中,N型摻雜鰭122,以及共同摻雜區122’(若存在的話),係摻雜以包含具有2×1018原子/cm3或更大之總濃度的磷及/或砷之N型摻雜物。
請參閱第1H圖,電介質填充層116係凹進以暴露出鰭102及122的突出部分。此外,圖案化之P型固態摻雜物源層108’及絕緣緩衝層或阻隔層114(若存在的話),係凹進至與電介質填充層116大約相同的位準,如第1H圖中所描繪地。該等層的凹進可藉由電漿、氣相、或濕蝕刻處理而予以執行。在一實施例中,係使用適合於矽鰭的乾 蝕刻處理,該乾蝕刻處理係根據由諸如,但未受限於NF3、CHF3、C4F8、HBr、及O2之氣體,而典型地以在30至100毫托之範圍中的壓力及50至1000瓦的電漿偏壓所產生之電漿。在實施例中,電介質填充層116及圖案化之P型固態摻雜物源層108’係以大約1:1之選擇性予以同時地凹進。在另一實施例中,電介質填充層116及圖案化之P型固態摻雜物源層108’係順序地凹進。
請參閱第1I圖,驅入退火係執行以提供專用於NMOS裝置之鰭的摻雜子鰭區域。更特別地,當加熱時,諸如硼摻雜物原子之來自圖案化之P型固態摻雜物源層108’的摻雜物被擴散至子鰭區域內(在凹進之電介質填充層116下方的該等區域),而形成P型摻雜子鰭區域124。該擴散亦可導致巨塊基板部分100之內的摻雜,其中鄰近的鰭102分享巨塊基板100中之共同摻雜區124’。在此方式中,NMOS裝置之鰭102的凸出部分,例如,凸出部分102’,保持未摻雜或微摻雜,例如,本質地保持與第1A圖相關聯所敘述之原始巨塊基板100及鰭102的摻雜縱剖面。因而,介面126存在於凸出部分102’與P型摻雜子鰭區域124之間。在一該實施例中,介面126表示摻雜濃度步階或迅速梯度改變,其中P型摻雜子鰭區域124具有2×1018原子/cm3或更大的總摻雜物濃度,而凸出部分102’主要具有小於2×1018原子/cm3,例如,大約5×1017原子/cm3或更小的總摻雜物濃度。躍遷區域可相對地陡峭,如與第4A及4B圖相關聯而在下文中予以更詳細敘述地。
請參閱第1I圖,P型摻雜子鰭區域124係摻雜跨越整個子鰭區域。在一該實施例中,各鰭約係10奈米寬,以及第1G圖的摻雜物驅入處理僅需來自圖案化之P型固態摻雜物源層108’的各側之摻雜物的5奈米驅入。在實施例中,驅入操作係執行於大約在800至1500攝氏度之範圍中的溫度處。
概括地,請再參閱第1A至1I圖,在實施例中,硼矽酸鹽玻璃(BSG)之摻雜層係使用以摻雜NMOS裝置的子鰭區域。BSG之層係在鰭蝕刻後,沈積在鰭之上。晶圓被圖案化,以致使BSG自PMOS區域予以去除。阻隔或勢壘層可被沈積以在BSG與溝渠填充(電介質116)材料之間形成勢壘,而使強勁的硼之向內擴散能自BSG膜進入至矽子鰭之內。在溝渠填充及研磨之後,PMOS鰭係以標準的阱佈植物予以摻雜。溝渠填充凹進操作自NMOS鰭上的鰭凸出物去除BSG。最終地,驅入退火操作驅動硼摻雜至子鰭之內,而留下無需有效摻雜之鰭的凸出部分。將被瞭解的是,在另一實施例中,與第1A至1I圖相關聯所敘述之導電類型可予以顛倒,例如,N型用於P型,且反之亦然。
在另一觀點中,對於NMOS及PMOS裝置二者之製造而言,可使用固態摻雜源以摻雜子鰭區域。因此,在第二實例中,第2A至2I圖描繪依據本發明實施例之具有摻雜子鰭區域的非平面半導體裝置之另一製造方法中之種種操作的橫剖面視圖。在一特定實施例中,第二代表性處理 流程可被敘述成為硼矽酸鹽玻璃(BSG)NMOS及磷矽酸鹽玻璃(PSG)或砷矽酸鹽玻璃(AsSG)PMOS製造方案。
請參閱第2A圖,諸如巨塊單晶矽基板之巨塊半導體基板200係設置具有被蝕刻於其中的鰭202。在實施例中,該等鰭係直接形成於巨塊基板200中,且同樣地,係延續巨塊基板200而被形成。殘留自鰭202之製造的人工製品(例如,氮化矽硬遮罩層及在下方的墊氧化物層)可在此階段予以去除,如在第2A圖中所描繪地。選擇性地,諸如氮化矽硬遮罩層之硬遮罩層,及諸如二氧化矽層之墊氧化物層可留在鰭的頂部,如與第1A圖相關聯而予以敘述地。在一實施例中,巨塊基板200,且因此,該等鰭202係在此階段未摻雜或微摻雜。例如,在特殊的實施例中,巨塊基板200,且因此,該等鰭202,具有小於大約5×1017原子/cm3之硼摻雜物雜質原子的濃度。
請參閱第2B圖,P型固態摻雜物源層208係形成於第2A圖的結構上。在一實施例中,P型固態摻雜物源層208係諸如,但未受限於P型摻雜氧化物、氮化物、或碳化物層之結合P型摻雜物於其中的電介質層。在特定的該實施例中,P型固態摻雜物源層208係硼矽酸鹽玻璃層。P型固態摻雜物源層208可藉由適合以在鰭202上提供共形層的處理而予以形成。例如,在一實施例中,P型固態摻雜物源層208係藉由化學氣相沈積(CVD)處理或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而在第2A圖的整個結構上方被形成為共形 層。在特殊的實施例中,P型固態摻雜物源層208係具有硼濃度約在0.1至10重量百分比的範圍中之BSG層。在另一實施例中,可將帽蓋層形成於P型固態摻雜物源層208上,做為在原處形成之帽蓋層,用以在隨後暴露至周遭情形的期間,保護該P型固態摻雜物源層208。在一該實施例中,帽蓋層係氮化物、碳化物、或氧化鋁(Al2O3)帽蓋層。應予以瞭解的是,若有的話,可在與被使用於P型固態摻雜物源層208相同的圖案化操作中,使該帽蓋層圖案化。
請再參閱第2B圖,諸如隔離氮化物層的絕緣緩衝層或阻隔層209係與例如,P型固態摻雜物源層208共形而予以形成,用以帽蓋該P型固態摻雜物源層208。絕緣緩衝層或阻隔層209可藉由適合以P型固態摻雜物源層208上提供共形層之處理,而予以形成。例如,在一實施例中,絕緣緩衝層或阻隔層209係藉由化學氣相沈積(CVD)處理或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而予以形成。
請參閱第2C圖,且在例如,適用於NMOS或PMOS裝置之鰭202的指明上,係自此處以後指示於處理流程中。特別地,請參閱第2C圖,圖案化之遮罩係僅形成於該等鰭202的一部分之上。如將與隨後的處理操作相關聯而予以敘述地,此遮罩操作致能用於NMOS裝置的鰭與用於PMOS裝置的鰭之間的區別。在一實施例中,該遮罩係由地形遮罩部分210及可能地,抗反射塗佈(ARC)層(未顯 示)所構成。在特殊之該實施例中,地形遮罩部分210係碳硬遮罩(CHM)層,以及抗反射塗佈層係矽ARC層。該地形遮罩部分210及該ARC層可以以習知的微影術及蝕刻處理技術予以圖案化。請再參閱第2C圖,絕緣緩衝層或阻隔層209,及P型固態摻雜物源層208係例如,藉由電漿、氣相、或濕蝕刻處理而予以圖案化,用以分別地形成圖案化之絕緣緩衝層或阻隔層209’及圖案化之P型固態摻雜物源層208’。
請參閱第2D圖,地形遮罩部分210被去除,其可使用電漿、氣相、或濕蝕刻處理而予以執行。而且,在第2D圖中所描繪之N型固態摻雜物源層212係與暴露之鰭共形,且與圖案化之絕緣緩衝層或阻隔層209’及圖案化之P型固態摻雜物源層208’共形,而被形成。在一實施例中,N型固態摻雜物源層212係諸如,但未受限於N型摻雜氧化物、氮化物、或碳化物層之結合N型摻雜物於其中的電介質層。在特定的該實施例中,N型固態摻雜物源層212係磷矽酸鹽玻璃層或砷矽酸鹽玻璃層。N型固態摻雜物源層212可藉由適合以在暴露之鰭以及圖案化之絕緣緩衝層或阻隔層209’及圖案化之P型固態摻雜物源層208’上提供共形層的處理,而予以形成。例如,在一實施例中,N型固態摻雜物源層212係藉由化學氣相沈積(CVD)處理或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而在第2C圖的整個結構上方被形成為共形層(已自該處去除210)。在特殊的實施例 中,N型固態摻雜物源層212係分別具有磷或砷濃度約在0.1至10重量百分比的範圍中之PSG層或AsSG層。在另一實施例中,可將帽蓋層形成於N型固態摻雜物源層212上,做為在原處形成之帽蓋層,用以在隨後暴露至周遭情形的期間,保護該N型固態摻雜物源層212。在一該實施例中,帽蓋層係氮化物、碳化物、或氧化鋁(Al2O3)帽蓋層。應予以瞭解的是,若有的話,可在與被使用於N型固態摻雜物源層212相同的圖案化操作中,使該帽蓋層圖案化。
請參閱第2E圖,圖案化之遮罩係僅形成於該等鰭的一部分之上。如將與隨後之處理操作相關聯而予以敘述地,此遮罩操作致能用於NMOS裝置的鰭與用於PMOS裝置的鰭之間的區別。在一實施例中,該遮罩係由地形遮罩部分214及可能地,抗反射塗佈(ARC)層(未顯示)所構成。在特殊之該實施例中,地形遮罩部分214係碳硬遮罩(CHM)層,以及抗反射塗佈層係矽ARC層。該地形遮罩部分214及該ARC層可以以習知的微影術及蝕刻處理技術予以圖案化。請再參閱第2E圖,N型固態摻雜物源層212係例如,藉由電漿、氣相、或濕蝕刻處理而予以圖案化,用以形成圖案化之N型固態摻雜物源層212’。
在選擇性實施例中,與第2E圖相關聯而予以敘述之遮罩操作係自處理流程消除,而降低所需之遮罩操作的總數。在一該實施例中,接著,N型固態摻雜物源層212不被圖案化,且係保持於NMOS及PMOS位置二者中。圖 案化之絕緣緩衝層或阻隔層209’防止來自該未圖案化之N型固態摻雜物源層212的摻雜物進入至其中打算使圖案化之P型固態摻雜物源層208’做為摻雜源的位置中。
請參閱第2F圖,地形遮罩部分214被去除,其可使用電漿、氣相、或濕蝕刻處理而予以執行。而且,在第2F圖中所描繪之諸如隔離氮化物層的絕緣緩衝層或阻隔層215係與例如,圖案化之N型固態摻雜物源層212’及圖案化之絕緣緩衝層或阻隔層209’共形而予以形成,用以帽蓋圖案化之N型固態摻雜物源層212’。絕緣緩衝層或阻隔層215可藉由適合以提供共形層之處理,而予以形成。例如,在一實施例中,絕緣緩衝層或阻隔層215係藉由化學氣相沈積(CVD)處理或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而予以形成。不論N型固態摻雜物源層212是否被圖案化,絕緣緩衝層或阻隔層215可予以沈積。
請參閱第2G圖,電介質填充層216係形成於第2F圖的結構上。在一實施例中,電介質填充層216係由諸如被使用於淺溝渠隔離製造處理中的二氧化矽所構成。電介質填充層216可藉由化學氣相沈積(CVD)或其他沈積處理(例如,ALD、PECVD、PVD、HDP協助之CVD、低溫CVD),而予以沈積。
請參閱第2H圖,電介質填充層216係接著平坦化以暴露出鰭202的頂部表面(例如,暴露出NMOS及PMOS專用的鰭202二者)。電介質填充層216可藉由化學機械 研磨(CMP)技術而予以平坦化。若存在的話,該平坦化亦自鰭202的頂部去除圖案化之P型固態摻雜物源層208’、圖案化之N型固態摻雜物源層212’、及絕緣緩衝層或阻隔層209’及215。在選擇性的實施例中,為了要消除或降低來自鰭之頂部的閘極控制,可將硬遮罩或其他電介質層保留在鰭的頂部上(例如,如在雙閘極裝置對三閘極裝置中)。
請再參閱第2H圖,電介質填充層216係凹進以暴露出鰭202的突出部分。此外,圖案化之P型固態摻雜物源層208’、圖案化之N型固態摻雜物源層212’、及絕緣緩衝層或阻隔層209’及215(若存在的話),係凹進至與電介質填充層216大約相同的位準,如第2H圖中所描繪地。該等層的凹進可藉由電漿、氣相、或濕蝕刻處理而予以執行。在一實施例中,係使用適合於矽鰭的乾蝕刻處理,該乾蝕刻處理係根據由諸如,但未受限於NF3、CHF3、C4F8、HBr、及O2之氣體,而典型地以在30至100毫托之範圍中的壓力及50至1000瓦的電漿偏壓所產生之電漿。
請參閱第2I圖,驅入退火係執行以提供專用於NMOS及PMOS裝置二者之鰭的摻雜子鰭區域。更特別地,當加熱時,諸如硼摻雜物原子之來自圖案化之P型固態摻雜物源層208’的摻雜物被擴散至子鰭區域內(在凹進之電介質填充層216下方的該等區域),而形成P型摻雜子鰭區域222。該擴散亦可導致巨塊基板部分200之內的摻雜,其 中鄰近的鰭202’分享巨塊基板200中之共同摻雜區222’。在此方式中,NMOS裝置之鰭202’的凸出部分保持未摻雜或微摻雜,例如,本質地保持與第2A圖相關聯所敘述之原始巨塊基板200及鰭202的摻雜縱剖面。因而,介面223存在於凸出部分202’與P型摻雜子鰭區域222之間。在一該實施例中,介面223表示摻雜濃度步階或迅速梯度改變,其中P型摻雜子鰭區域222且有2×1018原子/cm3或更大的的總摻雜物濃度,而凸出部分202’主要具有小於2×1018原子/cm3,例如,大約5×1017原子/cm3或更小的總摻雜物濃度。躍遷區域可相對地陡峭,如與第4A及4B圖相關聯而在下文中予以更詳細敘述地。
此外,當加熱時,諸如磷或砷摻雜物原子之來自圖案化之N型固態摻雜物源層212’的摻雜物被擴散至子鰭區域內(在凹進之電介質填充層216下方的該等區域),而形成N型摻雜子鰭區域224。該擴散亦可導致巨塊基板部分200之內的摻雜,其中鄰近的鰭202”分享巨塊基板200中之共同摻雜區224’。在此方式中,PMOS裝置之鰭202”的凸出部分保持未摻雜或微摻雜,例如,本質地保持與第2A圖相關聯所敘述之原始巨塊基板200及鰭202的摻雜縱剖面。因而,介面226存在於凸出部分222”與N型摻雜子鰭區域224之間。在一該實施例中,介面226表示摻雜濃度步階或迅速梯度改變,其中N型子鰭區域224具有2×1018原子/cm3或更大的總摻雜物濃度,而凸出部分222”主要具有小於2×1018原子/cm3,例如,大約5×1017 原子/cm3或更小的總摻雜物濃度。躍遷區域可相對地陡峭,如與第4A及4B圖相關聯而在下文中予以更詳細敘述地。
請參閱第2I圖,P型摻雜子鰭區域222及N型摻雜子鰭區域224係摻雜跨越個別的整個子鰭區域。在一該實施例中,各鰭約係10奈米寬,以及第2G圖的摻雜物驅入處理僅需來自個別的圖案化之P型固態摻雜物源層208’或圖案化之N型固態摻雜物源層212’的各側之摻雜物的5奈米驅入。在實施例中,驅入操作係執行於大約在800至1050攝氏度之範圍中的溫度處。
概括地,請再參閱第2A至2I圖,在實施例中,硼矽酸鹽玻璃(BSG)係實施用於NMOS鰭摻雜,而磷矽酸鹽玻璃(PSG)或砷矽酸鹽玻璃(AsSG)層係實施用於PMOS鰭摻雜。將被瞭解的是,在另一實施例中,與第2A至2I圖相關聯所敘述之導電類型可予以顛倒,例如,N型用於P型。且反之亦然。
將被瞭解的是,由上述代表性之處理方案所產生的結構,例如,第1I及2I圖之結構,可以以相同或相似的形式予以使用於隨後的處理操作,以完成諸如PMOS及NMOS裝置製造之裝置製造。做為完成之裝置的實例,第3A及3B圖分別描繪依據本發明實施例之具有具備摻雜子鰭區域的鰭之非平面半導體裝置的橫剖面視圖及平面視圖(沿著該橫剖面視圖之a-a’軸所取得)。
請參閱第3A圖,半導體結構或裝置300包含形成自 基板302且在隔離區306內的非平面主動區(例如,包含凸出鰭部分304及子鰭區域305的鰭結構)。閘極線308係設置在非平面主動區的凸出部分304上,以及在隔離區306的一部分上。如所顯示地,閘極線308包含閘極電極350及閘極電介質層352。在一實施例中,閘極線308亦可包含電介質帽蓋層354。閘極接點314及上方閘極接觸通孔316亦係連同上方金屬互連360而被一起觀察自此透視畫法,其所有者係設置在層間電介質堆疊或層370之中。而且,可自第3A圖之透視畫法觀察到的是,在一實施例中,閘極接點314係設置在隔離區306之上,而不在非平面主動區之上。例如,亦被描繪在第3A圖中的是,介面380存在於凸出鰭部分304及子鰭區域305的摻雜縱剖面之間。介面380可係相對陡峭的躍遷區域,如與第4A及4B圖相關聯而在下文中予以更詳細敘述地。
請參閱第3B圖,閘極線308係顯示成為被設置在凸出鰭部分304之上。該等凸出鰭部分304的源極區及汲極區304A及304B可被觀察自此透視畫法。在一實施例中,源極區及汲極區304A及304B係凸出鰭部分304之原始材料的摻雜部分。在另一實施例中,凸出鰭部分304之材料被去除,且例如,藉由磊晶沈積,而以另一半導體材料予以置換。在各情況中,源極區及汲極區304A及304B可在電介質層306的高度下方延伸,亦即,進入至子鰭區域305之內。在本發明之實施例中,更重摻雜之子鰭區域,亦即,在介面380之下方的鰭之摻雜部分可透過 巨塊半導體鰭之此部分而抑制源極及汲極的漏洩。
在實施例中,半導體結構或裝置300係諸如,但未受限於鰭式FET或三閘極裝置之非平面裝置。在該實施例中,對應之半導電通道區係由三維體所構成,或被形成於三維體之中。在一該實施例中,閘極線308的閘極電極堆疊包圍該三維體的至少頂部表面及一對側壁。
基板302可藉由能耐受製造處理且其中電荷可遷移之半導體材料,而予以構成。在實施例中,基板302係巨塊基板,由摻雜有諸如,但未受限於磷、砷、硼、或其組合之電荷載體,以形成主動區304的結晶矽、矽/鍺、或鍺層所構成。在一實施例中,於巨塊基板302中之矽原子的濃度係大於97%。在另一實施例中,巨塊基板302係由磊晶層成長在獨特結晶基板頂部上,例如,矽磊晶層成在硼摻雜巨塊矽單晶基板頂部上所構成。巨塊基板302可選擇性地由三五族材料所構成。在實施例中,巨塊基板302係由諸如,但未受限於氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其組合之三五族材料所構成。在一實施例中,巨塊基板302係由三五族材料所構成,以及電荷載體摻雜物雜質原子係諸如,但未受限於碳、矽、鍺、氧、硫、硒、或碲者。
隔離區306可由適合以最終地電性隔離永久閘極結構之部分與下方巨塊基板,或諸如隔離鰭主動區之隔離被形成於下方巨塊基板內之主動區,或促成該等者之隔離的材料所構成。例如,在一實施例中,隔離區306係由諸如, 但未受限於二氧化矽、氮氧化矽、氮化矽、或碳摻雜氮化矽之電介質材料所構成。
閘極線308可由包含閘極電介質層352及閘極電極層350之閘極電極堆疊所構成。在實施例中,閘極電極堆疊的閘極電極係由金屬閘極所構成,以及閘極電介質層係由高K材料所構成。例如,在一實施例中,閘極電介質層係由諸如,但未受限於氧化鉿、氮氧化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅、或其組合之材料所構成。再者,閘極電介質層的一部分可包含由基板302之頂部少數層所形成之天然氧化物的層。在實施例中,閘極電介質層係由頂部高k部分及由半導體材料之氧化物所組成的下方部分所構成。在一實施例中,閘極電介質層係由氧化鉿的頂部部分及二氧化矽或氮氧化矽的底部部分所構成。
在一實施例中,閘極電極係由諸如,但未受限於金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳、或導電金屬氧化物之金屬層所構成。在特定實施例中,閘極電極係由被形成在金屬功函數設定層上方之非功函數設定填充材料所構成。
與閘極電極堆疊相關聯之間隔物可由適合以最終地電性隔離永久閘極結構與諸如自行對齊接點之鄰近導電接點,或促成該等者之隔離的材料所構成。例如,在一實施 例中,該等間隔物係由諸如,但未受限於二氧化矽、氮氧化矽、氮化矽、或碳摻雜氮化矽之電介質材料所構成。
閘極接點314及上方閘極接觸通孔316可由導電材料所構成。在實施例中,一或多個接點或通孔係由金屬物種所構成。該等金屬物種可係諸如鎢、鎳、或鈷之純金屬,或可係諸如金屬-金屬合金或金屬-半導體合金(例如,諸如矽化物材料)之合金。
在實施例中,(雖然並未被顯示),提供之結構300包含接點圖案之形成,其係與現有閘極圖案本質上較佳地對齊,而排除具有過度嚴格登記預算之微影術步驟的使用。在一該實施例中,此途徑致能本徵高度選擇性之濕蝕刻的使用(例如,對習知佈植之乾或電漿蝕刻),以產生接點開口。在實施例中,接點圖案係藉由使用與接點柱塞微影術操作結合之現有閘極圖案,而予以形成。在一該實施例中,該途徑致能排除用於除此之外的決定性之微影術的需要,以產生接點圖案,如在習知途徑中所使用地。在實施例中,溝渠接點格柵並未被個別地圖案化,而係形成於多叉(閘極)線之間。例如,在一該實施例中,溝渠格柵係在閘極格柵圖案化之後,且在閘極格柵切割之前形成。
再者,閘極堆疊結構308可藉由置換閘極處理而予以製造。在該方案中,虛擬閘極諸如多晶矽或氮化矽柱材料可予以去除,且以永久閘極電極材料予以置換。在一該實施例中,永久閘極電介質層亦係在此處理之中形成,而不是透過較早處理所帶有的。在實施例中,虛擬閘極係藉由 乾蝕刻或濕蝕刻處理而予以去除。在一實施例中,虛擬閘極係由多晶矽或非晶矽所構成,且係以包含SF6之使用的乾蝕刻予以去除。在另一實施例中,虛擬閘極係由多晶矽或非晶矽所構成,且係以包含水NH4OH或四甲基氫氧化銨之使用的濕蝕刻予以去除。在一實施例中,虛擬閘極係由氮化矽所構成,且係以包含水磷酸之濕蝕刻予以去除。
在實施例中,於此處所敘述之一或多個途徑本質地打算結合虛擬及置換閘極處理與虛擬及置換接點處理,以得到結構300。在一該實施例中,置換接點處理係在置換閘極處理之後執行,以允許永久閘極堆疊之至少一部分的高溫退火。例如,在特定之該實施例中,永久閘極堆疊之至少一部分的退火,例如,在形成閘極電介質層之後,係執行於大於約600攝氏度的溫度處。該退火係在永久接點的形成之前執行。
請再參閱第3A圖,半導體結構或裝置300的配置安置閘極接點於隔離區之上。該配置可被視為佈局空間之無效率使用。然而,在另一實施例中,半導體裝置具有閘極電極的接點部分形成於主動區之上的接點結構。通常,在形成閘極接點結構(諸如通孔)於閘極的主動區之上以及在與溝渠接點通孔相同的層中之前(例如,除此之外),本發明之一或多個實施例包含先使用閘極對齊之溝渠接點處理。該處理可予以實施以形成用於半導體結構製造,例如,用於積體電路製造的溝渠接點結構。在實施例中,溝渠接點圖案係形成為與現有閘極圖案對齊。對照之下,習 知之途徑典型地包含額外的微影術處理,其具有對與選擇性之接點蝕刻結合的現有閘極圖案之微影接點圖案的嚴格登記。例如,習知處理可包含具有接點特徵的個別圖案化之多叉(閘極)格柵的圖案化。
如上述,一或多個實施例局限,或本質地局限來自摻雜處理的摻雜物至半導體裝置的子鰭區域。例如,第4A圖係依據本發明實施例之模擬的2D輪廓圖表400,用以說明對子鰭區域的硼摻雜物局限。在另一實例中,第4B圖係依據本發明實施例之模擬的2D輪廓圖表402,用以說明對子鰭區域的磷摻雜物局限。請參閱第4A及4B圖,摻雜濃度的躍遷自子鰭區域快速地掉落至凸出鰭區域。在一該實施例中,該躍遷係本質立即以小於約5×1017原子/cm3之摻雜物濃度以供凸出部分的各者之用,以及以大於約2×1018原子/cm3之摻雜物濃度以供對應的子鰭區域之用。
此外,亦係如上文所敘述地,在子鰭區域下方的基板部分可以以形成阱區之一觀念而予以摻雜。為了要例示自固態摻雜源向下擴散至下方基板內的概念。第5圖係依據本發明實施例之測量的1D SIMS摻雜物縱剖面500,用以說明自摻雜絕緣體層至矽基板內之摻雜物的擴散。
將被瞭解的是,並非在上文所敘述之該等處理的所有觀點需予以實施以落在本發明之實施例的範疇及精神之內。例如,在一實施例中,於製造閘極接點於閘極堆疊的主動部分上之前,甚至無需形成虛擬閘極。閘極堆疊可如 初始所形成地,實際地成為永久閘極堆疊。而且,在此所敘述之該等處理可被使用以製造一或複數個半導體裝置。該等半導體裝置可係電晶體或類似之裝置。例如,在實施例中,該等半導體裝置係用於邏輯或記憶體之金氧半(MOS)電晶體,或雙極性電晶體。而且,在實施例中,該等半導體裝置具有諸如三閘極裝置、獨立存取雙閘極裝置、或FIN-FET之三維架構。一或多個實施例可特別地有用於以10奈米(10nm)或更小技術節點而製造半導體裝置。
第6圖描繪依據本發明之一實施例的計算裝置600。計算裝置600板602。該板602可包含若干組件,包含,但未受限於處理器604及至少一通訊晶片606。處理器604係實體地及電性地耦接至板602。在若干實施例中,該至少一通訊晶片606亦係實體地及電性地耦接至板602。在進一步的實施例中,通訊晶片606係處理器604的一部分。
根據其應用,計算裝置600可包含可實體地及電性地或可不實體地及不電性地耦接至板602的其他組件。該等其他組件包含,但並未受限於揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、繪圖處理器、數位信號處理器、加密處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、聲頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速度計、迴轉儀、揚 聲器、相機、及主儲存裝置(諸如硬碟驅動器、小型碟片(CD)、數位多功能碟片(DVD)、等等)。
通訊晶片606致能無線電通訊,用於資料至計算裝置600的轉移及來自計算裝置600之資料的轉移。“無線電”之用語及其衍生語可被使用以描繪可透過非固體媒體之調變電磁輻射的使用而通訊資料的電路、裝置、系統、方法、技術、通訊頻道、等等。該用語並未暗指相關聯的裝置不包含任何佈線,雖然在某些實施例中,它們可不包含。通訊晶片606可實施若干無線電標準或協定的任何者,包含,但未受限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其衍生物,以及被指明為3G、4G、5G、及以上之任何其他的無線電協定。計算裝置600可包含複數個通訊晶片606。例如,第一通訊晶片606可專用於諸如Wi-Fi及藍芽之較短距離無線電通訊,以及第二通訊晶片606可專用於諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、等等之較長距離無線電通訊。
計算裝置600的處理器604包含被封裝於處理器604內之積體電路晶粒。在本發明實施例之若干實施中,該處理器的積體電路晶粒包含諸如依據本發明實施例所建立之MOS-FET電晶體的一或多個裝置。“處理器”之用語可意指可處理來自暫存器及/或記憶體之電子資料,以轉換該 電子資料成為可被儲存於暫存器及/或記憶體中之其他電子資料的任何裝置或裝置的一部分。
通訊晶片606亦包含被封裝於通訊晶片606內之積體電路晶粒。依據本發明之另一實施例,該通訊晶片的積體電路晶粒包含諸如依據本發明實施例所建立之MOS-FET電晶體的一或多個裝置。
在進一步的實施例中,收容於計算裝置600之內的另外組件可包含積體電路晶粒,其包含諸如依據本發明實施例之實施所建立之MOS-FET電晶體的一或多個裝置。
在種種實施例中,計算裝置600可係膝上型個人電腦、小筆電、筆記型個人電腦、超筆電、智慧型手機、平板電腦、個人數位助理(PDA)、超級行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜帶式音樂播放器、或數位錄影機。在進一步的實施例中,計算裝置600可係可處理資料之任何其他電子裝置。
因此,本發明之實施例包含具有摻雜子鰭區域的非平面半導體裝置及具有摻雜子鰭區域的非平面半導體裝置之製造方法。
在實施例中,半導體結構之製造方法包含在半導體基板上方形成複數個半導體鰭。固態摻雜物源層係形成於該半導體基板上方,而與該複數個半導體鰭共形。電介質層係形成於該固態摻雜物源層上方。該電介質層及該固態摻雜物源層係凹進到該複數個半導體鰭之頂部表面下方大約 相同的位準,而暴露出該複數個半導體鰭的各者之子鰭區域上方之該複數個半導體鰭的各者之凸出部分。該方法亦包含自該固態摻雜物源層驅動摻雜物至該複數個半導體鰭的各者之該等子鰭區域內。
在一實施例中,形成該固態摻雜物源層包含形成硼矽酸鹽玻璃(BSG)層。
在一實施例中,形成該固態摻雜物源層包含形成磷矽酸鹽玻璃(PSG)層或砷矽酸鹽玻璃(AsSG)層。
在一實施例中,該方法進一步包含形成與該複數個半導體鰭的各者之該等凸出部分共形的閘極電極,以及在該閘極電極的兩側上形成源極區及汲極區於該複數個半導體鰭的各者之該等凸出部分中。
在一實施例中,自該固態摻雜物源層驅動摻雜物至該複數個半導體鰭的各者之該等子鰭區域內包含形成摻雜物濃度介面於該複數個半導體鰭的各者之該等凸出部分的各者與對應的子鰭區域間。
在一實施例中,在該半導體基板上方形成該複數個半導體鰭包含形成延續巨塊單晶基板的複數個單晶矽鰭。
在實施例中,半導體結構之製造方法包含在半導體基板上方形成第一及第二複數個半導體鰭。P型固態摻雜物源層係在該半導體基板上方形成於該第一複數個半導體鰭上,且與該第一複數個半導體鰭共形。電介質層係形成於該P型固態摻雜物源層上方。該電介質層及該P型固態摻雜物源層係平坦化,以暴露出該第一及第二複數個半導體 鰭的各者之頂部表面。N型摻雜物係佈植至該第二複數個鰭之內,但不進入至該第一複數個鰭之內。該電介質層及該P型固態摻雜物源層係凹進到該第一及第二複數個半導體鰭的各者之該頂部表面下方大約相同的位準,而暴露出該第一及第二複數個半導體鰭的各者之子鰭區域上方之該第一及第二複數個半導體鰭的各者之凸出部分。該方法亦包含自該P型固態摻雜物源層驅動摻雜物至該第一複數個半導體鰭的各者之該等子鰭區域內,但不到該第二複數個半導體鰭的各者之該等子鰭區域內。
在一實施例中,形成該P型固態摻雜物源層包含形成全面P型固態摻雜物源層於該第一及第二複數個半導體鰭上,且與該第一及第二複數個半導體鰭共形,以及自該第二複數個半導體鰭,但非自該第一複數個半導體鰭,去除該全面P型固態摻雜物源層。
在一實施例中,該方法進一步包含,在自該第二複數個半導體鰭,但非自該第一複數個半導體鰭去除該全面P型固態摻雜物源層之後,形成緩衝電介質層於該P型固態摻雜物源層上並與該P型固態摻雜物源層共形,且於該第二複數個半導體鰭上並與該第二複數個半導體鰭共形。
在一實施例中,形成該P型固態摻雜物源層包含形成硼矽酸鹽玻璃(BSG)層。
在一實施例中,該方法進一步包含形成與該第一複數個半導體鰭的各者之該等凸出部分共形的N型閘極電極,形成與該第二複數個半導體鰭的各者之該等凸出部分共形 的P型閘極電極,以及在對應的該閘極電極的兩側上形成源極區及汲極區於該第一及第二複數個半導體鰭的各者之該等凸出部分中。
在一實施例中,自該P型固態摻雜物源層驅動摻雜物至該第一複數個半導體鰭的各者之該等子鰭區域內包含形成摻雜物濃度介面於該第一複數個半導體鰭的各者之該等凸出部分的各者與對應的子鰭區域間。
在一實施例中,於該半導體基板上方形成該第一及第二複數個半導體鰭包含形成延續巨塊單晶基板的第一及第二複數個單晶矽鰭。
在實施例中,半導體結構之製造方法包含在半導體基板上方形成第一及第二複數個半導體鰭。P型固態摻雜物源層係在該半導體基板上方形成於該第一複數個半導體鰭上,且與該第一複數個半導體層共形。N型固態摻雜物源層係在該半導體基板上方形成於該第二複數個半導體鰭上,且與該第二複數個半導體鰭共形。電介質層係形成於該P型固態摻雜物源層上方,且於該N型固態摻雜物源層上方,該電介質層、該P型固態摻雜物源層、及該N型固態摻雜物源層係凹進到該第一及第二複數個半導體鰭的各者之頂部表面下方大約相同的位準,而暴露出該第一及第二複數個半導體鰭的各者之子鰭區域上方之該第一及第二複數個半導體鰭的各者之凸出部分。該方法亦包含自該P型固態摻雜物源層驅動摻雜物至該第一複數個半導體鰭的各者之該等子鰭區域內,但不到該第二複數個半導體 鰭的各者之該等子鰭區域內,以及自該N型固態摻雜物源層驅動摻雜物至該第二複數個半導體鰭的各者之該等子鰭區域內,但不到該第一複數個半導體鰭的各者之該等子鰭區域內。
在一實施例中,自該P型固態摻雜物源層驅動摻雜物至該第一複數個半導體鰭的各者之該等子鰭區域內,及自該N型固態摻雜物源層驅動摻雜物至該第二複數個半導體鰭的各者之該等子鰭區域內,係在相同的處理操作中執行。
在一實施例中,形成該P型固態摻雜物源層包含形成全面P型固態摻雜物源層於該第一及第二複數個半導體鰭上,且與該第一及第二複數個半導體鰭共形,以及自該第二複數個半導體鰭,但非自該第一複數個半導體鰭,去除該全面P型固態摻雜物源層。
在一實施例中,該方法進一步包含,在自該第二複數個半導體鰭去除該全面P型固態摻雜物源層之後,形成緩衝電介質層於該P型固態摻雜物源層上並與該P型固態摻雜物源層共形。
在一實施例中,形成該N型固態摻雜物源層包含形成全面N型固態摻雜物源層於該第二複數個半導體鰭上並與該第二複數個半導體鰭共形,且在該P型固態摻雜物源層上方,以及自該P型固態摻雜物源層上方,但非自該第二複數個半導體鰭,去除該全面N型固態摻雜物源層。
在一實施例中,該方法進一步包含,在自該P型固態 摻雜物源層上方去除該全面N型固態摻雜物源層之後,形成緩衝電介質層於該N型固態摻雜物源層上並與該N型固態摻雜物源層共形,且於該P型固態摻雜物源層上並與該P型固態摻雜物源層共形。
在一實施例中,形成該P型固態摻雜物源層包含形成硼矽酸鹽玻璃(BSG)層,以及形成該N型固態摻雜物源層包含形成磷矽酸鹽玻璃(PSG)層或砷矽酸鹽玻璃(AsSG)層。
在一實施例中,該方法進一步包含形成與該第一複數個半導體鰭的各者之該等凸出部分共形的N型閘極電極,形成與該第二複數個半導體鰭的各者之該等凸出部分共形的P型閘極電極,以及在對應的該閘極電極的兩側上形成源極區及汲極區於該第一及第二複數個半導體鰭的各者之該等凸出部分中。
在一實施例中,自該P型固態摻雜物源層驅動摻雜物至該第一複數個半導體鰭的各者之該等子鰭區域內包含形成摻雜物濃度介面於該第一複數個半導體鰭的各者之該等凸出部分的各者與對應的子鰭區域間,以及自該N型固態摻雜物源層驅動摻雜物至該第二複數個半導體鰭的各者之該等子鰭區域內包含形成摻雜物濃度介面於該第二複數個半導體鰭的各者之該等凸出部分的各者與對應的子鰭區域間。
在一實施例中,於該半導體基板上方形成該第一及第二複數個半導體鰭包含形成延續巨塊單晶基板的第一及第 二複數個單晶矽鰭。
在實施例中,半導體結構包含複數個半導體鰭,設置在半導體基板上方。固態摻雜物源層係設置在該半導體基板上方,而與該複數個半導體鰭的各者之子鰭區域共形,但僅到該複數個半導體鰭之頂部下方的位準,以暴露出該複數個半導體鰭的各者之該等子鰭區域上方之該複數個半導體鰭的各者之凸出部分。電介質層係設置在該固態摻雜物源層上方,該電介質層具有與在該複數個半導體鰭之該頂部表面下方的該位準大約共平面的頂部表面。摻雜物濃度介面係在該複數個半導體鰭的各者之該等凸出部分的各者與對應的子鰭區域間。
在一實施例中,該固態摻雜物源層係硼矽酸鹽玻璃(BSG)層。
在一實施例中,該固態摻雜物源層係磷矽酸鹽玻璃(PSG)層或砷矽酸鹽玻璃(AsSG)層。
在一實施例中,該半導體結構進一步包含閘極電極,設置與該複數個半導體鰭的各者之該等凸出部分共形,以及源極區及汲極區,設置在該閘極電極的兩側上之該複數個半導體鰭的各者之該等凸出部分中。
在一實施例中,設置在該半導體基板上方之該複數個半導體鰭係延續巨塊單晶基板的複數個單晶矽鰭。
在一實施例中,該摻雜物濃度介面係用於該複數個半導體鰭的各者之該等凸出部分的各者之小於約5×1017原子/立方公分,及用於對應的該等子鰭區域之大於約2×1018原 子/立方公分的陡峭躍遷。
100‧‧‧巨塊半導體基板
102‧‧‧鰭
104‧‧‧硬遮罩層
106‧‧‧墊氧化物層
108‧‧‧P型固態摻雜物源層
108'‧‧‧圖案化之P型固態摻雜物源層
110‧‧‧地表遮罩部分
112‧‧‧抗反射塗佈層
114‧‧‧絕緣緩衝層或阻隔層
116,216‧‧‧電介質填充層
118‧‧‧遮罩層
120‧‧‧阱及/或逆行佈植操作
122‧‧‧N型摻雜鰭
122',124'‧‧‧共同摻雜區
124‧‧‧P型摻雜子鰭區域
126‧‧‧介面

Claims (25)

  1. 一種積體電路結構,其包含:包含矽的鰭片,該鰭片具有下鰭片部分和上鰭片部分;包含磷矽酸鹽玻璃(PSG)的層,包含該PSG之該層直接在該鰭片之該下鰭片部分之側壁上;包含氮的絕緣層,該絕緣層直接在該鰭片之該下鰭片部分之側壁上之包含該PSG的該層上;電介質填充材料,其直接在該鰭片之該下鰭片部分之該些側壁上之包含該PSG之該層上之該絕緣層上,其中該電介質填充材料包含矽和氧;以及閘極電極,其在該鰭片之該上鰭片部分之側壁之上且水平地鄰近該鰭片之該上鰭片部分之側壁,該閘極電極在該電介質填充材料之上。
  2. 如申請專利範圍第1項所述之積體電路結構,其中該下鰭片部分具錐形側壁,以及其中該上鰭片部分具有錐形側壁。
  3. 如申請專利範圍第1項所述之積體電路結構,更包含:閘極電介質層,其在該閘極電極和該鰭片之該上鰭片部分之間。
  4. 如申請專利範圍第3項所述之積體電路結構,其中該閘極電介質層包含高k電介質層。
  5. 如申請專利範圍第1項所述之積體電路結構,其中 該鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的磷濃度。
  6. 如申請專利範圍第1項所述之積體電路結構,其中該鰭片之該上鰭片部分具有小於約5×1017原子/立方公分的磷濃度。
  7. 如申請專利範圍第1項所述之積體電路結構,其中該鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的磷濃度,以及其中該鰭片之該上鰭片部分具有小於約5×1017原子/立方公分的磷濃度。
  8. 一種積體電路結構,其包含:包含矽的鰭片,該鰭片具有下鰭片部分和上鰭片部分;包含硼矽酸鹽玻璃(BSG)的層,包含該BSG之該層直接在該鰭片之該下鰭片部分之側壁上;包含氮的第一絕緣層,該第一絕緣層直接在該鰭片之該下鰭片部分之該些側壁上之包含該BSG之該層上;包含氮的第二絕緣層,該第二絕緣層在直接在該鰭片之該下鰭片部分之該些側壁上之包含該BSG之該層上的該第一絕緣層之上;電介質填充材料,其直接在該鰭片之該下鰭片部分之該些側壁上之包含該BSG之該層上之該第一絕緣層之上的該第二絕緣層上,其中該電介質填充材料包含矽和氧;以及閘極電極,其在該鰭片之該上鰭片部分之側壁之上且 水平地鄰近該鰭片之該上鰭片部分之側壁,該閘極電極在該電介質填充材料之上。
  9. 如申請專利範圍第8項所述之積體電路結構,其中該下鰭片部分具錐形側壁,以及其中該上鰭片部分具有錐形側壁。
  10. 如申請專利範圍第8項所述之積體電路結構,更包含:閘極電介質層,其在該閘極電極和該鰭片之該上鰭片部分之間。
  11. 如申請專利範圍第10項所述之積體電路結構,其中該閘極電介質層包含高k電介質層。
  12. 如申請專利範圍第8項所述之積體電路結構,其中該鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的硼濃度。
  13. 如申請專利範圍第8項所述之積體電路結構,其中該鰭片之該上鰭片部分具有小於約5×1017原子/立方公分的硼濃度。
  14. 如申請專利範圍第8項所述之積體電路結構,其中該鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的硼濃度,以及其中該鰭片之該上鰭片部分具有小於約5×1017原子/立方公分的硼濃度。
  15. 一種積體電路結構,其包含:包含矽的第一鰭片,該第一鰭片具有下鰭片部分和上鰭片部分; 包含矽的第二鰭片,該第二鰭片具有下鰭片部分和上鰭片部分;包含硼矽酸鹽玻璃(BSG)的層,包含該BSG之該層直接在該第一鰭片之該下鰭片部分之側壁上;包含磷矽酸鹽玻璃(PSG)的層,包含該PSG之該層直接在該第二鰭片之該下鰭片部分之側壁上,其中包含該PSG之該層的一端與位於該第一鰭片和該第二鰭片之間之包含該BSG之該層的一端;包含氮的第一絕緣層,該第一絕緣層直接在該第二鰭片之該下鰭片部分之該些側壁上之包含該PSG的該層上;包含氮的第二絕緣層,該第二絕緣層直接在該第一鰭片之該下鰭片部分之側壁之包含該BSG之該層上,該第二絕緣層直接在該第二鰭片之該下鰭片部分之該些側壁上之包含該PSG之該層的該第一絕緣層之上;電介質填充材料,其直接在該第一鰭片之該下鰭片部分之該些側壁上之包含該BSG之該層上之該第一絕緣層上的該第二絕緣層上,該電介質填充材料直接在該第二鰭片之該下鰭片部分之該些側壁上之包含該PSG之該層上之該第一絕緣層之上的該第二絕緣層上,其中該電介質填充材料包含矽和氧;以及第一閘極電極,其在該第一鰭片之該上鰭片部分之側壁之上且水平地鄰近該鰭片之該上鰭片部分之側壁,該第一閘極電極在該電介質填充材料之上;以及 第二閘極電極,其在該第二鰭片之該上鰭片部分之側壁之上且水平地鄰近該鰭片之該上鰭片部分之側壁,該第二閘極電極在該電介質填充材料之上。
  16. 如申請專利範圍第15項所述之積體電路結構,其中包含該PSG之該層之該端係終止端,以及包含該BSG之該層之該端係終止端。
  17. 如申請專利範圍第16項所述之積體電路結構,其中包含該PSG之該層之該終止端以及包含該BSG之該層之該終止端在包含該PSG之該層之該終止端和包含該BSG之該層之該終止端之間的大致垂直的介面處相遇。
  18. 如申請專利範圍第15項所述之積體電路結構,更包含:第一閘極電介質層,其在該第一閘極電極和該第一鰭片之該上鰭片部分之間;以及第二閘極電介質層,其在該第二閘極電極和該第二鰭片之該上鰭片部分之間。
  19. 如申請專利範圍第18項所述之積體電路結構,其中該第一閘極電介質層包含第一高k電介質層,以及其中該第二閘極電介質層包含第二高k電介質層。
  20. 如申請專利範圍第15項所述之積體電路結構,其中該第一鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的硼濃度。
  21. 如申請專利範圍第15項所述之積體電路結構,其中該第一鰭片之該上鰭片部分具有小於約5×1017原子/立 方公分的硼濃度。
  22. 如申請專利範圍第15項所述之積體電路結構,其中該第一鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的硼濃度,以及其中該第一鰭片之該上鰭片部分具有小於約5×1017原子/立方公分的硼濃度。
  23. 如申請專利範圍第15項所述之積體電路結構,其中該第二鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的磷濃度。
  24. 如申請專利範圍第15項所述之積體電路結構,其中該第二鰭片之該上鰭片部分具有小於約5×1017原子/立方公分的磷濃度。
  25. 如申請專利範圍第15項所述之積體電路結構,其中該第二鰭片之該下鰭片部分具有大於約2×1018原子/立方公分的磷濃度,以及其中該第二鰭片之該上鰭片部分具有小於約5×1017原子/立方公分的磷濃度。
TW106112627A 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法 TWI609494B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/046902 WO2014204477A1 (en) 2013-06-20 2013-06-20 Non-planar semiconductor device having doped sub-fin region and method to fabricate same

Publications (2)

Publication Number Publication Date
TW201727918A TW201727918A (zh) 2017-08-01
TWI609494B true TWI609494B (zh) 2017-12-21

Family

ID=52105046

Family Applications (4)

Application Number Title Priority Date Filing Date
TW103115368A TWI506794B (zh) 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法
TW106112627A TWI609494B (zh) 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法
TW105134076A TWI590465B (zh) 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法
TW104126102A TWI565076B (zh) 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103115368A TWI506794B (zh) 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW105134076A TWI590465B (zh) 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法
TW104126102A TWI565076B (zh) 2013-06-20 2014-04-29 具有摻雜子鰭區域的非平面半導體裝置及其製造方法

Country Status (7)

Country Link
US (6) US10056380B2 (zh)
KR (2) KR102100469B1 (zh)
CN (4) CN105431929B (zh)
DE (1) DE112013007003T5 (zh)
GB (1) GB2529583B (zh)
TW (4) TWI506794B (zh)
WO (1) WO2014204477A1 (zh)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105493253B (zh) 2013-09-25 2019-11-29 英特尔公司 用于finfet架构的用固态扩散源掺杂的隔离阱
US9184089B2 (en) * 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10355093B2 (en) 2014-06-26 2019-07-16 Intel Corporation Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US9601333B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9312183B1 (en) * 2014-11-03 2016-04-12 Globalfoundries Inc. Methods for forming FinFETS having a capping layer for reducing punch through leakage
US10170330B2 (en) * 2014-12-09 2019-01-01 Globalfoundries Inc. Method for recessing a carbon-doped layer of a semiconductor structure
US9806154B2 (en) 2015-01-20 2017-10-31 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9502414B2 (en) * 2015-02-26 2016-11-22 Qualcomm Incorporated Adjacent device isolation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106158748B (zh) 2015-04-07 2022-01-18 联华电子股份有限公司 半导体元件及其制作方法
WO2016200971A1 (en) * 2015-06-08 2016-12-15 Synopsys, Inc. Substrates and transistors with 2d material channels on 3d geometries
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9564437B1 (en) * 2015-08-25 2017-02-07 International Business Machines Corporation Method and structure for forming FinFET CMOS with dual doped STI regions
CN106486378B (zh) * 2015-09-02 2019-07-02 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9805987B2 (en) * 2015-09-04 2017-10-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
CN106505040B (zh) * 2015-09-07 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US10734488B2 (en) 2015-09-11 2020-08-04 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
CN106558549A (zh) * 2015-09-25 2017-04-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN106558490A (zh) 2015-09-25 2017-04-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
US11222947B2 (en) 2015-09-25 2022-01-11 Intel Corporation Methods of doping fin structures of non-planar transistor devices
CN106601683B (zh) * 2015-10-15 2019-09-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106816464B (zh) 2015-12-01 2020-03-20 中芯国际集成电路制造(北京)有限公司 半导体装置的制造方法
US10497781B2 (en) * 2015-12-23 2019-12-03 Intel Corporation Methods for doping a sub-fin region of a semiconductor structure by backside reveal and associated devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106952806A (zh) * 2016-01-07 2017-07-14 中芯国际集成电路制造(上海)有限公司 改善鳍式场效应管性能的方法
CN106952822A (zh) 2016-01-07 2017-07-14 中芯国际集成电路制造(上海)有限公司 改善鳍式场效应管性能的方法
US9721949B1 (en) * 2016-01-29 2017-08-01 GlobalFoundries, Inc. Method of forming super steep retrograde wells on FinFET
CN107026126B (zh) 2016-02-02 2021-01-26 联华电子股份有限公司 半导体元件及其制作方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9881919B2 (en) * 2016-03-03 2018-01-30 International Business Machines Corporation Well and punch through stopper formation using conformal doping
US9698018B1 (en) * 2016-04-19 2017-07-04 Globalfoundries Inc. Introducing self-aligned dopants in semiconductor fins
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109478566B (zh) 2016-06-17 2022-09-02 英特尔公司 一种场效应晶体管及其制作方法、cmos集成电路、移动计算机平台
US11152290B2 (en) 2016-06-29 2021-10-19 Intel Corporatuon Wide bandgap group IV subfin to reduce leakage
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10910223B2 (en) 2016-07-29 2021-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Doping through diffusion and epitaxy profile shaping
US20180033789A1 (en) * 2016-07-29 2018-02-01 Globalfoundries Inc. Method, apparatus, and system for reducing dopant concentrations in channel regions of finfet devices
US9824937B1 (en) 2016-08-31 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable CVD quality control in STI loop
CN107799421B (zh) 2016-09-05 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9881918B1 (en) 2016-09-30 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI704622B (zh) 2016-11-15 2020-09-11 聯華電子股份有限公司 半導體元件及其製作方法
US9805988B1 (en) 2016-12-01 2017-10-31 Globalfoundries Inc. Method of forming semiconductor structure including suspended semiconductor layer and resulting structure
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10002793B1 (en) 2017-03-21 2018-06-19 Globalfoundries Inc. Sub-fin doping method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10134901B1 (en) 2017-06-26 2018-11-20 Globalfoundries Inc. Methods of forming a bulk field effect transistor (FET) with sub-source/drain isolation layers and the resulting structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216273A (zh) 2017-07-06 2019-01-15 联华电子股份有限公司 半导体结构及其制造方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN109300971B (zh) * 2017-07-24 2022-06-21 联华电子股份有限公司 半导体结构及其制造方法
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10833152B2 (en) * 2017-08-15 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10497577B2 (en) * 2017-08-31 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
TW202341349A (zh) 2017-11-30 2023-10-16 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
US10460993B2 (en) 2017-11-30 2019-10-29 Intel Corporation Fin cut and fin trim isolation for advanced integrated circuit structure fabrication
US10734379B2 (en) 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
US10707133B2 (en) 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
US10796968B2 (en) 2017-11-30 2020-10-06 Intel Corporation Dual metal silicide structures for advanced integrated circuit structure fabrication
US10796951B2 (en) 2017-11-30 2020-10-06 Intel Corporation Etch-stop layer topography for advanced integrated circuit structure fabrication
DE102018126911A1 (de) * 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
TW202333375A (zh) 2017-11-30 2023-08-16 美商英特爾股份有限公司 用於先進積體電路結構製造的鰭切割和鰭修整隔離
US11462436B2 (en) 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
US10756204B2 (en) 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN110648967A (zh) * 2018-06-26 2020-01-03 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10665714B2 (en) 2018-06-29 2020-05-26 International Business Machines Corporation Vertical transistors with various gate lengths
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10600885B2 (en) * 2018-08-20 2020-03-24 International Business Machines Corporation Vertical fin field effect transistor devices with self-aligned source and drain junctions
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10777469B2 (en) * 2018-10-11 2020-09-15 International Business Machines Corporation Self-aligned top spacers for vertical FETs with in situ solid state doping
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US10868183B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming the same
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111463173B (zh) * 2019-01-18 2023-04-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
US11127639B2 (en) * 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220009156A (ko) * 2020-07-15 2022-01-24 삼성전자주식회사 상부 채널 및 하부 채널을 갖는 반도체 소자 및 그 제조 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220310601A1 (en) * 2021-03-24 2022-09-29 Intel Corporation Fin doping and integrated circuit structures resulting therefrom
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113394108A (zh) * 2021-05-31 2021-09-14 上海华力集成电路制造有限公司 一种用于FinFET多阈值电压的无损伤掺杂方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US20100163926A1 (en) * 2008-12-29 2010-07-01 Hudait Mantu K Modulation-doped multi-gate devices
US20110309333A1 (en) * 2010-06-21 2011-12-22 International Business Machines Corporation Semiconductor devices fabricated by doped material layer as dopant source
EP1844498B1 (en) * 2005-01-28 2012-03-14 Nxp B.V. Method of fabricating a dual-gate fet
KR101163224B1 (ko) * 2011-02-15 2012-07-06 에스케이하이닉스 주식회사 듀얼 폴리게이트 형성방법 및 이를 이용한 반도체소자의 제조방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001339889A (ja) 2000-05-26 2001-12-07 Alps Electric Co Ltd スピンドルモータのロータおよびインデックス信号出力装置とそれらを備えたfdd装置
CN1274263C (zh) 2002-04-25 2006-09-13 曹茂铉 与床垫一体的石床
US6763226B1 (en) * 2002-07-31 2004-07-13 Computer Science Central, Inc. Multifunctional world wide walkie talkie, a tri-frequency cellular-satellite wireless instant messenger computer and network for establishing global wireless volp quality of service (qos) communications, unified messaging, and video conferencing via the internet
US6835967B2 (en) 2003-03-25 2004-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor diodes with fin structure
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US7235436B1 (en) 2003-07-08 2007-06-26 Advanced Micro Devices, Inc. Method for doping structures in FinFET devices
US7224029B2 (en) * 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100672826B1 (ko) * 2004-12-03 2007-01-22 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
US7538000B2 (en) * 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7223650B2 (en) * 2005-10-12 2007-05-29 Intel Corporation Self-aligned gate isolation
US20090215277A1 (en) * 2008-02-26 2009-08-27 Tung-Hsing Lee Dual contact etch stop layer process
US7955928B2 (en) 2009-03-30 2011-06-07 International Business Machines Corporation Structure and method of fabricating FinFET
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8338280B2 (en) * 2010-07-08 2012-12-25 Globalfoundries Singapore Pte. Ltd. Method for fabricating nano devices
JP2012019131A (ja) * 2010-07-09 2012-01-26 Sony Corp 光電変換素子及び固体撮像装置
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8951819B2 (en) * 2011-07-11 2015-02-10 Applied Materials, Inc. Wafer dicing using hybrid split-beam laser scribing process with plasma etch
JP2013045901A (ja) * 2011-08-24 2013-03-04 Toshiba Corp 半導体装置および半導体装置の製造方法
US9023715B2 (en) 2012-04-24 2015-05-05 Globalfoundries Inc. Methods of forming bulk FinFET devices so as to reduce punch through leakage currents
US9514995B1 (en) * 2015-05-21 2016-12-06 Globalfoundries Inc. Implant-free punch through doping layer formation for bulk FinFET structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
EP1844498B1 (en) * 2005-01-28 2012-03-14 Nxp B.V. Method of fabricating a dual-gate fet
US20100163926A1 (en) * 2008-12-29 2010-07-01 Hudait Mantu K Modulation-doped multi-gate devices
US20110309333A1 (en) * 2010-06-21 2011-12-22 International Business Machines Corporation Semiconductor devices fabricated by doped material layer as dopant source
KR101163224B1 (ko) * 2011-02-15 2012-07-06 에스케이하이닉스 주식회사 듀얼 폴리게이트 형성방법 및 이를 이용한 반도체소자의 제조방법

Also Published As

Publication number Publication date
TW201543690A (zh) 2015-11-16
TWI506794B (zh) 2015-11-01
US10396079B2 (en) 2019-08-27
CN109950318B (zh) 2022-06-10
CN109950318A (zh) 2019-06-28
GB201520166D0 (en) 2015-12-30
US20190341383A1 (en) 2019-11-07
KR102220806B1 (ko) 2021-02-26
WO2014204477A1 (en) 2014-12-24
TW201511277A (zh) 2015-03-16
US20200212039A1 (en) 2020-07-02
KR20200039832A (ko) 2020-04-16
TW201719904A (zh) 2017-06-01
US20210175233A1 (en) 2021-06-10
US10622359B2 (en) 2020-04-14
US20190006362A1 (en) 2019-01-03
KR20160022810A (ko) 2016-03-02
CN106847924B (zh) 2021-03-30
TW201727918A (zh) 2017-08-01
CN106847924A (zh) 2017-06-13
GB2529583B (en) 2018-08-08
US10056380B2 (en) 2018-08-21
TWI565076B (zh) 2017-01-01
CN111968976A (zh) 2020-11-20
TWI590465B (zh) 2017-07-01
US11631673B2 (en) 2023-04-18
US20230223406A1 (en) 2023-07-13
CN105431929A (zh) 2016-03-23
US20160056156A1 (en) 2016-02-25
KR102100469B1 (ko) 2020-04-13
GB2529583A (en) 2016-02-24
CN105431929B (zh) 2020-09-29
US10964697B2 (en) 2021-03-30
DE112013007003T5 (de) 2016-01-07

Similar Documents

Publication Publication Date Title
TWI609494B (zh) 具有摻雜子鰭區域的非平面半導體裝置及其製造方法
TWI610444B (zh) 具有摻雜次鰭區的ω鰭的非平面半導體裝置及其製造方法
TWI550727B (zh) 用於系統單晶片(SoC)應用的垂直非平面半導體裝置
TWI532185B (zh) 有頂阻擋層的具有自對準鰭部的非平面半導體裝置
TW202414827A (zh) 半導體結構及系統晶片(SoC)積體電路及其製造方法
JP6330942B2 (ja) ドープサブフィン領域があるオメガフィンを有する非プレーナ型半導体デバイスおよびそれを製造する方法