TWI553733B - 用於原子層蝕刻的方法 - Google Patents

用於原子層蝕刻的方法 Download PDF

Info

Publication number
TWI553733B
TWI553733B TW101144525A TW101144525A TWI553733B TW I553733 B TWI553733 B TW I553733B TW 101144525 A TW101144525 A TW 101144525A TW 101144525 A TW101144525 A TW 101144525A TW I553733 B TWI553733 B TW I553733B
Authority
TW
Taiwan
Prior art keywords
substrate
temperature
gas
reactive gas
layer
Prior art date
Application number
TW101144525A
Other languages
English (en)
Other versions
TW201340209A (zh
Inventor
張鎂
尤都史凱約瑟夫
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201340209A publication Critical patent/TW201340209A/zh
Application granted granted Critical
Publication of TWI553733B publication Critical patent/TWI553733B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Description

用於原子層蝕刻的方法
本發明的實施例大體上關於用於沉積材料的設備與方法。更詳細而言,本發明的實施例涉及具線性往復運動(linear reciprocal motion)的原子層沉積腔室。
在半導體處理、平板顯示器處理或其他電子元件處理的領域中,氣相沉積製程已在沉積材料於基材上扮演重要的角色。當電子元件的幾何形狀持續縮小,元件密度持續增加,特徵的尺寸與深寬比變得愈來愈具挑戰性,例如特徵尺寸為0.07 μm,且深寬比為10或更高。據此,共形地沉積材料而形成這些元件變得愈來愈重要。
原子層沉積(ALD)製程期間,反應物氣體被導入含有基材的處理腔室。大體而言,基材區域接觸第一反應物,該第一反應物被吸附至基材表面上。基材隨後接觸第二反應物,該第二反應物與第一反應物反應,而形成沉積材料。在遞送每一反應物氣體之間,可導入清洗(purge)氣體,以確保所發生的唯一反應是在基材表面上。
有許多例子中,用於第一反應物的最適反應條件並不與用於第二反應物的最適反應條件相同。在反應之間改變 整個腔室與基材的溫度是沒有效率的。此外,一些反應條件如果維持得太久,可能引發對基材及所得元件的長時間的損害。因此,在此技術中持續需要在更適反應條件下透過原子層沉積處理基材之改良的設備與方法。
本發明的實施例涉及處理基材的方法。位在處理腔室中的基材在第一溫度暴露至反應物氣體,以在基材之表面上形成蝕刻層。未反應的反應物氣體從處理腔室移除。基材表面的溫度提昇到第二溫度,以將蝕刻層從基材表面氣化。氣化的蝕刻層從處理腔室移除。基材表面的溫度減少到約第一溫度。詳細實施例中,該第一溫度低於蝕刻層的等向蝕刻點(isotropic etch point)。
某些實施例中,基材是矽。特定實施例中,反應物氣體是氟。詳細實施例中,第一溫度是在約20℃至約50℃的範圍內,且第二溫度是在約100℃至約200℃的範圍內。
一些實施例中,將基材暴露至反應物氣體包含將基材暴露至兩種或更多種氣體之組合,以形成蝕刻層。詳細實施例中,基材在基材表面上具有二氧化矽層,且將基材暴露至反應物氣體包含將基材表面暴露至水與氨氣之一者,之後將該基材暴露至氫氟酸。特定實施例中,當使用水時,第一溫度大約是室溫,而第二溫度為約50℃。某些實施例中,當使用氨氣時,第一溫度低於約35℃,而第二溫度為約120℃。
本發明的額外實施例涉及處理基材的方法。具有表 面之基材在氣體分佈板下方橫向移動,該氣體分佈板包含複數個狹長氣體通口,該等狹長氣體通口包括第一氣體出口以遞送第一反應性氣體。該第一氣體遞送到基材表面而在基材表面上形成蝕刻層。基材表面的溫度從第一溫度局部(locally)改變至第二溫度,第二溫度足以氣化蝕刻層。基材表面經清洗而去除氣化的蝕刻層。
詳細實施例中,第一溫度低於蝕刻層的等向蝕刻點,而第二溫度大於或等於蝕刻層的等向蝕刻點。特定實施例中,基材表面溫度是透過輻射式加熱或電阻式加熱之一或更多者而改變。
特定實施例中,基材是矽,且第一反應性氣體包含氟。某些實施例中,第二溫度是在約100℃至約200℃的範圍內。
本發明的進一步之實施例涉及處理基材之方法。具有表面之基材在氣體分佈板下方橫向移動,該氣體分佈板包含複數個狹長氣體通口,該等狹長氣體通口包括遞送第一反應性氣體的第一氣體出口以及遞送第二反應性氣體的第二氣體出口。第一反應性氣體遞送到基材表面而在基材表面上形成第一反應性層。清洗未反應的第一反應性氣體。第二反應性氣體遞送到基材表面以與第一反應性層反應,而在基材表面上形成蝕刻層。清洗未反應的第二反應性氣體。基材表面的溫度從第一溫度局部改變至第二溫度,第二溫度足以氣化蝕刻層。基材表面經清洗而去除氣化的蝕刻層。詳細實施例中,第一溫度低於蝕刻層的等向蝕刻點,而第二溫度大於或 等於蝕刻層的等向蝕刻點。
一些實施例中,基材表面溫度是透過輻射式加熱或電阻式加熱之一或更多者而改變。詳細實施例中,基材在表面上具有氧化矽層,且將第一反應性氣體是水與氨氣之一。特定實施例中,第二反應性氣體是氫氟酸。某些實施例中,第一溫度低於約50℃,且第二溫度是在約90℃至約130℃的範圍內。
10‧‧‧裝載閘腔室
15‧‧‧隔離閥
20‧‧‧處理腔室
30‧‧‧氣體分佈板
60‧‧‧基材
61‧‧‧第一表面
65‧‧‧梭動機構
66‧‧‧基座
67‧‧‧頂表面
68‧‧‧凹部
70‧‧‧軌道
80、80a、80b‧‧‧熱元件
90‧‧‧加熱燈
100‧‧‧系統
110‧‧‧基材表面
120‧‧‧前驅物注射器
125‧‧‧氣體通口
130‧‧‧前驅物注射器
135‧‧‧氣體通口
140‧‧‧前驅物注射器
145‧‧‧氣體通口
150‧‧‧泵送送系統
155‧‧‧真空通口
160‧‧‧隔件
198‧‧‧箭號
藉由參考本發明之實施例(說明於附圖中),可獲得於上文中簡要總結的本發明之更特定的描述,而能詳細瞭解及獲得上述的本發明之特徵。然而應注意附圖僅說明此發明的典型實施例,因而不應將該等附圖視為限制本發明之範疇,因為本發明可容許其他等效實施例。
第1圖顯示根據本發明一或更多個實施例的原子層沉積腔室的示意剖面視圖;第2圖顯示根據本發明一或更多個實施例的基座;第3圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第4圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第5圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第6圖顯示根據本發明一或更多個實施例的原子層 沉積腔室的部分剖面側視圖;第7圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第8圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第9圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第10圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第11圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第12圖顯示根據本發明一或更多個實施例的原子層沉積腔室的部分剖面側視圖;第13圖顯示根據本發明一或更多個實施例的反應示意圖;以及第14圖顯示根據本發明一或更多個實施例的反應示意圖。
本發明的實施例涉及提供基材之改良處理的原子層沉積設備與方法。本發明的特定實施例涉及合併至少一個熱元件以改變基材一部分之溫度的原子層沉積設備(也稱為循環式沉積)。
本發明的一或更多個實施例涉及蝕刻基材或從基材 表面蝕刻材料或層的方法。可在任何適合的處理腔室中處理基材,該等處理腔室包括化學氣相沉積腔室與原子層沉積腔室,但不以此為限。在原子層沉積製程中,可運用反應物氣體、清洗氣體、與溫度變化的時間上或空間上的分隔。時間上的分隔用於描述這樣的製程:透過該製程,在某一時間整個(或幾乎整個)處理腔室暴露至單一氣體,且氣體之間有清洗步驟。在本文中徹底詳盡地描述空間上的分隔,且所述空間上的分隔大體上意味:該基材的第一部分暴露至一個反應條件,而該基材的第二部分可同時暴露至不同的反應條件。大體而言,以時間上的方案處理的基材維持靜態,而以空間上的方案處理的基材相對氣體分佈板移動。
大體上,原子層蝕刻製程包括下述步驟:(1)在低於等向蝕刻點的溫度下將反應物A導至基材上(或目標蝕刻材料上);(2)以將該反應物泵送清洗而去;(3)加熱基材,以將副產物從基材氣化;(4)冷卻基材至步驟1的溫度;以及重覆步驟1至4達到期望的量。硬體可能受益於簡便且快速的反應物導入與移除。一個範例是反應物、泵送、與清洗氣體通道的空間上的分隔。此舉也可助於擁有快速且有效的加熱與冷卻能力。欲達成上述功效,可使用特定波長範圍的閃燈、雷射、或光源,或者是溫度控制的基材支架。
已知分子氟將不會在室溫下蝕刻矽(不像XeF2)。如果矽溫度被加熱到約100至200℃,則分子氟將會開始蝕刻。在空間性製程中,基材部分可暴露至例如循環方式的清洗/泵送且雷射加熱/清洗/泵送/氟/泵送/清洗/泵送且雷射加熱 /清洗。該加熱可以是雷射或其他如所述的來源,且可位在各個位置。
另一範例(大體上關於蝕刻二氧化矽)涉及將二氧化矽表面暴露至HF/H2O或HF/NH3環境。空間性原子層沉積可安排成使得基材或表面的多個部分依序暴露至清洗/泵送/水或氨氣/泵送/清洗/泵送/HF/泵送/清洗/泵送與熱源/清洗。晶圓(基材)將以此順序暴露:H2O/HF/退火泵送,及反覆此順序。對於水的方案,基材可處於室溫,且加熱可高如50℃。對於氨氣的方案,基材可處於30℃且被加熱至約120℃。
一些實施例中,在處理腔室中,基材(或基材表面)暴露至反應物氣體。將基材表面暴露至反應物氣體引發蝕刻膜或蝕刻層形成在基材表面上。如在此說明書與所附的申請專利範圍中所用,「蝕刻膜」與「蝕刻層」之用語可交換使用,且是指基材表面(或基材表面的一部分)上的膜或層,此膜或層可在之後從表面剝離或移除。詳細實施例中,透過實質上僅只增加溫度(或退火),而將蝕刻膜或蝕刻層從基材表面移除。在此時,過剩或未反應的反應物氣體從處理腔室清洗或移除,以避免與任何額外製程干擾。
蝕刻層形成在基材表面上之後,基材(或基材表面)的溫度從第一溫度提昇至第二溫度。在第一溫度,蝕刻層形成於基材表面上。在第二溫度,蝕刻層形成在基材表面上。然而,在第二溫度,蝕刻層轉而氣化,因此從基材表面移除,而留下蝕刻過的表面。氣化的蝕刻層從處理腔室移除,以避免一旦接著將基材溫度降低至第一溫度後產生的沉積。
第一溫度大體上低於第二溫度。詳細實施例中,第一溫度低於蝕刻層的等向蝕刻點,且第二溫度大約等於或大於等向蝕刻點。如在此說明書與所附的申請專利範圍中所用,「等向蝕刻點」之用語界定成可使反應副產物(即蝕刻膜)氣化的最低溫度。
有多種方式在第一溫度與第二溫度之間改變基材溫度。一或多個實施例中,基材或處理腔室維持在第一溫度並且提昇而氣化蝕刻層。在形成蝕刻層期間或之後,可將溫度提昇至任何點。一或更多個實施例中,在與蝕刻層形成的實質上同一時間,將溫度提昇。特定實施例中,形成蝕刻層之後,將溫度提昇。
一些實施例中,基材或處理腔室維持在第二溫度,且反應性氣體保持在第一溫度,如此則該氣體是冷的,以暫時降低基材表面溫度。短時間後,基材表面的溫度會與處理腔室之溫度平衡,因此氣化在表面上形成的層。可透過例如輻射式、電阻式、或傳導式加熱而提昇基材溫度,或透過例如傳導式冷卻而降低基材溫度。
一或更多個詳細實施例中,基材是矽,且反應物氣體是氟。基材與氟氣體於第一溫度反應而形成蝕刻層,該第一溫度範圍是約20℃至約50℃。基材溫度隨後上升至第二溫度以從基材表面氣化蝕刻層,該第二溫度範圍是約100℃至約200℃。
一些實施例中,將基材暴露至反應物氣體包含超過一個步驟。例如,為了在基材表面上形成蝕刻層,組合兩種 或更多種氣體可能是必要的。雖然此舉可能需要對超過一種的個別氣體進行暴露,但由於最終結果是在基材上有蝕刻層,所以該等超過一種的個別氣體被統稱為反應物氣體。某些實施例中,基材在表面上具有二氧化矽層,且將基材暴露至反應物氣體之步驟包括將基材表面暴露至水與氨氣之其中一者,然後將基材暴露至氫氟酸。當基材首先暴露至水時,第一溫度大約是室溫,而第二溫度是約50℃。當基材首先暴露至氨氣時,第一溫度低於約35℃,而第二溫度為約120℃。
使用原子層沉積形式的製程之蝕刻可為相當實用,此舉容許在單一沉積腔室內整合多個製程。例如能夠在低於等向蝕刻點的溫度下沉積蝕刻層,且隨後上升溫度超過此點。此舉在有能量阻障時是實用的,必須克服該能量阻障才能從表面蝕刻層。此外,以這種方式控制蝕刻製程可助於避免自發反應,並且減輕蝕刻製程失控的風險。
第1圖是根據本發明一或更多個實施例的空間性原子層沉積系統(或系統100)之示意剖面圖。系統100包括裝載閘腔室10以及處理腔室20。處理腔室20大體上是可密封的殼體,該殼體是在真空下操作,或至少是在低壓下操作。處理腔室20與裝載閘腔室10透過隔離閥15隔離。隔離閥15處於關閉位置時將處理腔室20對裝載閘腔室10密封,且處於開啟位置時使基材60得以從裝載閘腔室10傳送通過該閥而至處理腔室20,反之亦然。
系統100包括能夠使一或更多種氣體分佈遍及基材60的氣體分佈板30。該氣體分佈板30可以是發明所屬技術 領域中具有通常知識者已知的任何適合的分佈板,且在此描述的特定氣體分佈板不應被視為限制本發明的範疇。氣體分佈板30的輸出面面向基材60的第一表面61。
與本發明實施例一併使用的基材可以是任何適合的基材。詳細實施例中,基材是剛性、獨立(discrete)、大體上平面的基材。如在此說明書與所附的申請專利範圍中所使用,用語「獨立」在指涉基材時,該用語意味著該基材具有固定尺寸。特定實施例之基材為半導體基材,諸如200 mm或300 mm直徑的矽基材。
氣體分佈板30包含複數個氣體通口與複數個真空通口,該等氣體通口設置成輸送一或更多種氣流至基材60,而該等真空通口配置在各氣體通口之間並且設置成輸送氣流離開處理腔室20。第1圖的詳細實施例中,氣體分佈板30包含第一前驅物注射器120、第二前驅物注射器130、與清洗氣體注射器140。注射器120、130、140可由系統電腦(圖中未示,諸如主機)控制或透過腔室專用控制器控制(諸如可程式邏輯控制器)。前驅物注射器120設置成將連續(或脈衝)式的化合物A之反應性前驅物流通過複數個氣體通口125注射進入處理腔室20中。前驅物注射器130設置成將連續(或脈衝)式的化合物B之反應性前驅物流通過複數個氣體通口135注射進入處理腔室20中。清洗氣體注射器140設置成將連續(或脈衝)式的非反應性氣體或清洗氣體流通過複數個氣體通口145注射進入處理腔室20中。清洗氣體設置成從處理腔室20移除反應性材料與反應性副產物。清洗氣體一般是 惰氣,諸如氮氣、氬氣、與氦氣。氣體通口145配置在氣體通口125與氣體通口135之間,以將化合物A之前驅物與化合物B之前驅物分離,從而避免前驅物之間的交叉污染。
另一態樣中,將前驅物注射進入處理腔室20之前,可將遠端電漿源(圖中未示)連接前驅物注射器120與前驅物注射器130。透過施加電場至遠端電漿源內的化合物,可生成反應性物種的電漿。可使用任何能夠活化期望的化合物的電源。例如,可使用這樣的電源:使用以DC、射頻(RF)、與微波(MW)為基礎的放電技術的電源。若使用RF電源,該RF電源可為電容式耦合或電感式耦合。也可透過以熱為基礎的技術、氣體裂解的技術、高強度光源(例如UV能量)、或暴露至X光源而產生活化。示範性遠端電漿源可由諸如MKS Instruments,Inc.與Advanced Energy Industries,Inc.之販售商購得。
系統100進一步包括泵送系統150,該泵送系統150連接處理腔室20。泵送系統150大體上設置成將氣流從處理腔室20通過一或更多個真空通口155而排空。真空通口155配置在每一氣體通口之間,以在氣流與基材表面反應之後將氣流排出處理腔室20,且進一步限制前驅物之間的交叉污染。
系統100包括複數個隔件160,這些隔件160配置在處理腔室20上位在每一通口之間。每一隔件的下部延伸接近基材60的第一表面61,例如離第一表面61約0.5 mm或更遠。以此方式,隔件160的下部與基材表面分離一距離,該距離足以使氣流在與基材表面反應後得以在下部周圍朝向真 空通口155流動。箭號198指示氣流方向。由於隔件160如對氣流之實體阻障般運作,該等隔件160也限制了前驅物之間的交叉污染。所示的排列方式僅是說明性質,且不應被視為限制本發明之範疇。發明所屬技術領域中具有通常知識者將瞭解,所示的氣體分佈系統僅是一種可能的分佈系統,且可運用其他類型的噴頭與氣墊板。
操作中,(例如透過機器人)將基材60遞送至裝載閘腔室10且放置在梭動機構(shuttle)65上。隔離閥15開啟後,梭動機構65沿著軌道70移動。一旦梭動機構65進入處理腔室20中,隔離閥15關閉,而密封處理腔室20。梭動機構65隨後移動通過處理腔室20以供處理。一個實施例中,梭動機構65以線性路徑移動通過腔室。
當基材60移動通過處理腔室20,基材60的第一表面61反覆地暴露至化合物A的前驅物(來自氣體通口125)以及化合物B的前驅物(來自氣體通口135),而來自氣體通口145的清洗氣體位在化合物A的前驅物與化合物B的前驅物之間。清洗氣體的注射是設計成在將基材表面110暴露至下一個前驅物之前,先移除來自前一個前驅物的未反應的材料。每一次暴露至各種氣流(例如前驅物或清洗氣體)之後,藉由泵送系統150透過真空通口155排空氣流。由於真空通口可配置在每一氣體通口的兩側上,所以氣流透過兩側上的真空通口155排空。因此,氣流從各別的氣體通口垂直地向下流向基材60之第一表面61,遍及基材表面110,並且圍繞隔件160的下部,最後向上流向真空通口155。以這樣的 方式,每一氣體可均勻地分佈遍及基材表面110。箭號198指示氣體流動的方向。基材60也可在暴露至各種氣流的同時旋轉。基材的旋轉對於在於形成的層中防止條帶形成而言相當實用。基材的旋轉可以是連續式或以分開的步驟進行。
在處理腔室20的端部處大體上設置足夠的空間,以確保藉由處理腔室20中的最後氣體通口進行的完整暴露。一旦基材60抵達處理腔室20的端部(即,第一表面61已經完全暴露至處理腔室20中的每一氣體通口)之後,基材60以朝向裝載閘腔室10的方向返回。當基材60朝裝載閘腔室10往回移動時,基材表面可再度暴露至化合物A之前驅物、清洗氣體、與化合物B之前驅物,該順序為與第一次暴露反向的順序。
基材表面110暴露至各氣體的程度可透過例如從氣體通口出來的各氣體之流速以及基材60的移動速率所決定。一個實施例中,各氣體的流速設置成不從基材表面110移除吸附的前驅物。每一隔件之間的寬度、配置在處理腔室20上的氣體通口的數目、以及基材來回傳遞的次數也可決定基材表面110暴露至各種氣體的程度。所以,可透過改變上述所參考的因子而使沉積膜的量與品質最適化。
另一實施例中,系統100可包括前驅物注射器120與前驅物注射器130,而無清洗氣體注射器140。所以,當基材60移動通過處理腔室20時,基材表面110將會交替暴露至化合物A的前驅物以及化合物B的前驅物,而在該等前驅物之間不暴露至清洗氣體。
第1圖中所示的實施例具有在基材上方的氣體分佈板30。雖然已針對此直立走向描述及顯示該等實施例,但可瞭解倒轉走向也是可能的。在那樣的情況中,基材60的第一表面61將會面向下,同時朝向基材的氣流將會被導引向上。
尚有另一實施例,系統100可被設置成處理複數個基材。這樣的實施例中,系統100可包括第二裝載閘腔室(配置在裝載閘腔室10的相對端處)與複數個基材60。基材60可被遞送至裝載閘腔室10並且從第二裝載閘腔室收回。
一些實施例中,梭動機構65是搭載基材60的基座(susceptor)66。大體而言,基座66是幫助遍及基材上形成均勻溫度的載具。基座66在裝載閘腔室10與處理腔室20之間於雙向上(相對第1圖的排列方式,由左至右以及由右至左)可移動。基座66具有用於搭載基材60的頂表面67。基座66可以是被加熱的基座,使得基材60可被加熱以供處理。如一範例,基座66可被配置在基座66下方的輻射熱燈90、加熱板、電阻線圈、或其他加熱裝置所加熱。
尚有另一實施例中,基座66的頂表面67包括凹部68,該凹部68設置成收納基材60,如第2圖所示。基座66大體上比基材的厚度還厚,使得基材下方有基座材料。詳細實施例中,凹部68設置成使得當基材60配置在凹部68內時,基材60的第一表面61與基座66的頂表面67同水平。換言之,一些實施例的凹部68設置成當基材60配置在該凹部68中時,基材60的第一表面61不會突出於基座66的頂表面67上方。
一些實施例中,基材與載具熱隔離,以盡量減少熱損失。此舉可透過任何適合的手段完成,這些手段包括盡量減少表面接觸面積以及使用低熱導性材料,但不以上述手段為限。
基材具有固有的熱預算,此固有的熱預算是基於基材上完成的先前處理而受到限制。因此,限制基材暴露於巨大溫度變動是實用的,以避免超出此熱預算,從而損壞先前的處理。一些實施例中,氣體分佈板30包括至少一個熱元件80,該熱元件80適於引發基材60之一部分表面處的溫度中的局部改變。溫度中的局部改變主要影響基材60的表面的一部分,而不影響基材的主體溫度(bulk temperature)。
參考第3圖,在操作中,基材60相對氣體分佈板30的氣體通口移動,如箭號所示。此實施例中,處理腔室20可保持在第一溫度,該第一溫度適合前驅物A與基材60(或基材60上的層)有效地反應,但不至於太低而使前驅物B有效反應。原子層蝕刻的環境下,第一溫度可低於前驅物A(蝕刻劑)與基材表面(或基材表面上的層)的反應產物的等向蝕刻點。區域X移動經過具清洗氣體的氣體通口、真空通口、與第一前驅物A通口,其中基材60之表面與第一前驅物A反應。因為處理腔室20保持在適合前驅物A反應的溫度,所以當基材60移動到前驅物B時,區域X受熱元件80影響,且區域X的局部溫度增加。詳細實施例中,區域X的局部溫度增加至有利前驅物B之反應的溫度。在原子層蝕刻的環境中,區域X的局部溫度可增加至大約等於或大於蝕刻膜的等 向蝕刻點。前驅物B可以是蝕刻劑氣體,或者可以被惰氣所取代。
發明所屬技術領域中具有通常知識者將瞭解,如在此所使用及所描述,區域X是基材的人為固定點或區域。實際的使用上,當基材在氣體分佈板30附近移動時,區域X確實是移動的目標。為了描述之目的,圖中所示的區域X在處理基材期間是位於固定點。
詳細實施例中,區域X(亦稱為基材的一部分)在尺寸上受限。一些實施例中,受任何個別熱元件影響的基材的該部分少於基材面積的約20%。各個實施例中,受任何個別熱元件影響的基材的該部分少於基材面積的約15%、10%、5%、或2%。
熱元件80可以是任何適合的溫度變化裝置且可定位在許多位置。熱元件80的適合範例包括:輻射式加熱器(例如燈與雷射)、電阻式加熱器、液體控制熱交換器與冷卻板,但不以上述熱元件為限。
第3圖至第6圖顯示各種熱元件80的放置方式與種類。應瞭解,這些範例僅為說明本發明之一些實施例,且不應被視為限制本發明之範疇。一些實施例中,熱元件80定位在至少一個狹長氣體通口內。此多樣的實施例顯示於第3圖至第5圖中。在第3圖,熱元件80是定位在氣體通口的入口處的輻射式加熱器。可使用該輻射式加熱器,以在基材60通過含有該輻射式加熱器的氣體通口附近時,直接加熱基材60的區域X。在此,當區域X在氣體通口B附近時,基材的區 域X被加熱且改變。
發明所屬技術領域中具有通常知識者將瞭解,在任何所給的氣體分佈板30內可有超過一個熱元件80。此情況之範例會是具有兩個前驅物A與前驅物B之重複單元的氣體分佈板30。若前驅物B的反應溫度高於前驅物A,熱元件可放在前驅物B氣體通口的每一者內(或周圍/附近)。
特定實施例中,輻射式加熱器是雷射,該雷射沿著氣體通口導引而朝向基材60之表面。可由第3圖所見,當區域X通過熱元件,提昇的溫度維持一段時間。用於該區域的溫度維持提昇的時間量取決於許多因子。因此,一些實施例中,輻射式加熱器定位在前驅物B氣體通口之前的真空通口或清洗氣體通口之一者處。這些實施例中,區域X將殘餘的熱維持得夠長而足以促進前驅物B之反應。這些實施例中,區域X被加熱且在從氣體通口A周圍延伸至氣體通口B周圍的區域中改變溫度。
第4圖與第5圖顯示其中熱元件80是電阻式加熱器的本發明之替代性實施例。電阻式加熱器可以是發明所屬領域中具有通常知識者已知的任何適合的加熱器,包括管狀加熱器,但不以此為限。第4圖中,電阻式加熱器定位在氣體通口內,使得通過電阻式加熱器的氣體被加熱。特定實施例中,通過電阻式加熱器的氣體被加熱至足以提供與基材(或基材上之層)進行有效反應的溫度。通過電阻式加熱器的被加熱的氣體可隨後加熱基材的區域X。在此實施例與類似實施例中,當區域X在氣體通口B周圍附近時,基材60的區域 X的表面溫度改變。
第5圖顯示其中電阻式加熱器放置在清洗氣體通口內的替代性實施例。此電阻式加熱器的放置方式是在區域X遇到前驅物A之後、遇到前驅物B之前。或者,在原子層蝕刻的情況中,電阻式加熱器是放置在區域X暴露至蝕刻劑氣體之後,且前驅物B可以省略或為另一蝕刻劑。此實施例的電阻式加熱器加熱清洗氣體,一旦清洗氣體接觸基材,旋即加熱基材的該部分(區域X)。詳細實施例中,熱元件80定位成使得清洗氣體在流過氣體分佈板之前被加熱或冷卻。
類似第4圖與第5圖的一些實施例以冷卻板取代電阻式加熱器。冷卻板可放置在氣體通口中的氣流內,以冷卻離開該等通口的氣體之溫度。一些實施例中,被冷卻的氣體是前驅物A或前驅物B之一或更多者。詳細實施例中,熱元件80是放置在清洗氣體通口中的冷卻板,以冷卻清洗氣體,而冷卻基材表面的溫度。冷卻板可在原子層蝕刻製程中相當實用,該冷卻板作為一種確保區域X的溫度低於後續反應形成的蝕刻層的等向蝕刻點的手段。
第6圖顯示本發明的另一實施例,其中熱元件80定位在氣體分佈板30的前面。圖中顯示熱元件80是在介於兩個氣體通口之間的氣體分佈板的一部分中。此熱元件的尺寸可依所需調整,以盡量減小相鄰氣體通口之間的間隙。特定實施例中,熱元件的尺寸大約等於隔件160之寬度。這些實施例的熱元件80可為任何適合的熱元件,包括輻射式與電阻式加熱器,或者包括冷卻器。此特殊配置方式因為與基材60 之表面接近所以可適合用於電阻式加熱器與冷卻板。詳細實施例中,熱元件80是電阻式加熱器,定位在氣體分佈板的前面,以直接加熱基材60的該部分(區域X)。特定實施例中,熱元件80是冷卻板,定位在氣體分佈板的前面,以直接冷卻基材60的該部分(區域X)。詳細實施例中,熱元件80定位在氣體通口的任一側上。這些實施例特別適合與往復運動處理一併使用,在往復運動處理中,基材在氣體分佈板30附近來回移動。
第6圖的詳細實施例中,前驅物A與前驅物B二者都是相同的反應物氣體。此反應物氣體可用於在基材表面或基材表面的區域X上建立蝕刻層。之後,可由因暴露至熱元件80所造成的提昇的溫度而氣化蝕刻層。基材或區域X在從基材氣化之前,可暴露至反應物氣體多次。各種實施例中,基材表面在所得的蝕刻層氣化之前,暴露至蝕刻劑氣體一次、兩次、三次、四次、或五次。
熱元件80可定位在氣體分佈板30之前及/或之後。此實施例適合往復處理腔室(其中基材在氣體分佈板附近來回移動)與連續(旋轉料架或輸送器)建構物二者。詳細實施例中,熱元件80是熱燈。第7圖所示的特定實施例中,有兩個熱元件80,一個在氣體分佈板的任一側,使得在往復型處理中,基材60以兩個處理方向被加熱。
第8圖顯示本發明的另一實施例,其中有兩個氣體分佈板30,而熱元件80是在氣體分佈板30之各者之前、之後、與之間。此實施例特別與往復式處理腔室一併使用,因 為此實施例容許在單一循環中(一個回合(one pass)的來回)有更多層得以沉積。因為在氣體分佈板30的開端與末端之處有熱元件80,所以基材60在以向前(例如由左至右)或返回(例如由右至左)的移動方式通過氣體分佈板30之前受到熱元件80影響。發明所屬領域中具有通常知識者將瞭解,處理腔室20可具有任何數目的氣體分佈板30,且熱元件80是在每一氣體分佈板30之前及/或之後,且將瞭解本發明不應受限於圖中所示的實施例。
第9圖顯示類似第8圖的另一實施例,但不具有在最後的氣體分佈板30之後的熱元件80。此類的實施例特別與連續式處理(而非往復式處理)一併使用。例如,處理腔室20可含有任何數目的氣體分佈板30,且熱元件80是在每一板之前。此類實施例也可在原子層蝕刻製程中特別實用。每一氣體分佈板之前的熱元件80可用於確保基材溫度低於待形成之蝕刻層的等向蝕刻點。
一些實施例中,熱元件80是氣體分佈板或氣體分佈板的一部分,被設置成導引已經加熱或冷卻的氣流朝向基材表面。此外,該氣體分佈板可被加熱或冷卻,如此因鄰近基材而可引發基材表面溫度的改變。例如,連續處理環境中,處理腔室可具有多個氣體分佈板,或具有大量氣體通口的單一板。多個氣體分佈板(其中超過一個氣體分佈板)中的一或更多者或多個氣體通口中的一些氣體通口可被設置成提供經加熱或冷卻的氣體或輻射能量。
本發明的額外實施例涉及處理基材的方法。基材60 在包含複數個狹長的氣體通口的氣體分佈板30附近橫向移動。該等狹長的氣體通口包括遞送第一氣體的第一氣體通口A以及遞送第二氣體的第二氣體通口B。第一氣體被遞送到基材表面,且第二氣體被遞送到基材表面。基材表面的局部溫度在處理期間有所改變。一些實施例中,在將第一氣體遞送到基材表面之後、遞送第二氣體至基材表面之前,局部地改變溫度。詳細實施例中,在遞送第一氣體的約略同一時間局部地改變溫度,或者是在遞送第二氣體的約略同一時間局部地改變溫度。
詳細實施例中,基材表面溫度直接由輻射式加熱、電阻式加熱、與冷卻基材表面等方式中的一或更多者所改變。特定實施例中,基材表面溫度非直接地改變,這是透過下述方式中的一或更多者所達成:電阻式加熱與冷卻第一氣體與第二氣體之一或更多者。
第10圖顯示可特別用於原子層蝕刻中的實施例。基材60在氣體分佈板30下方橫向移動。第一氣體(也稱蝕刻劑氣體、蝕刻氣體、前驅物等)被遞送至基材表面(或基材之區域X),以形成蝕刻層。透過使用熱元件80,將基材或區域X的局部溫度從第一溫度改變至第二溫度。提昇基材表面的溫度(或在空間性處理的情況中,提昇基材表面的一部分的溫度)引發蝕刻膜從基材表面氣化。膜已受蝕刻之後,氣化的蝕刻膜從處理腔室移除。
顯示於第10圖中的實施例包括後方有熱元件80的兩個分開的蝕刻劑氣體通口。發明所屬技術領域中具有通常 知識者能瞭解,額外的泵送通口將位在第二熱元件80的下游,以確保氣化的蝕刻層從處理腔室移除。雖然圖中顯示兩個分立的蝕刻劑氣體通口/熱元件之組合,但可使用任何數目的蝕刻劑氣體通口/熱元件之組合。各個實施例中,氣體分佈板下方的基材之單一回合造成至少一個、兩個、三個、四個、五個、六個、七個、八個、九個、或十個蝕刻層形成/氣化之製程。
圖中所示的實施例中,熱元件80透過加熱清洗氣體而提供熱給基材表面。將瞭解熱元件80可以是例如位在蝕刻劑氣體後的泵送通道或清洗通道之任一者或全部中的輻射熱源。第11圖顯示本發明之實施例,其中輻射熱源(例如雷射)定位在泵送通道中,該泵送通道接近蝕刻劑氣體通口並且位在該蝕刻劑氣體通口下游。再一次,圖中顯示有兩個分立的蝕刻氣體/熱元件80單元,但能瞭解這僅是一種可能的配置方式,且其他配置方式亦在本發明的範疇內。將熱元件80定位在泵送通道(或真空通道)中是期望的,因為氣化膜所建立的副產物可立即從腔室移除。此舉盡量減少副產物冷凝至基材表面或處理腔室表面上的可能性。
第12圖顯示另一詳細實施例,其中有兩個不同的熱元件80a、80b,該等熱元件80a、80b分別用於提昇基材溫度與冷卻基材溫度。此實施例中,區域X(具有低於待形成之層的等向蝕刻點的第一溫度)首先暴露至蝕刻劑氣體,以形成蝕刻層於基材上。區域X隨後暴露至泵送通道,以使蝕刻膜從基材表面氣化,該泵送通道具有輻射熱元件80a,該輻射 熱元件80a提昇區域X之溫度至等於或大於等向蝕刻點。儘管陳述區域X「暴露」至反應物氣體,能瞭解可陳述反應物氣體「接觸」區域X。這些詞彙可交換使用。區域X隨後暴露至清洗氣體,該清洗氣體已通過橫越冷卻熱元件80b。冷卻熱元件80b可以是任何適合的冷卻器,例如氣體路徑中的冷線(cold wire)。在清洗氣體與冷卻熱元件80b之間,區域X處的基材表面溫度被降低到低於蝕刻膜的等向蝕刻點,使得能夠發生後續處理。
第13圖顯示本發明的詳細實施例,其中基材是矽且第一反應性氣體包含氟。氟與矽表面反應並且沉積至該矽表面上,而形成氟化矽膜。此反應可在低於等向蝕刻點的任何適合的第一溫度處發生。各個實施例中,第一溫度是在約0℃至約75℃的範圍內,或在約10℃至約65℃的範圍內,或在約20℃至約50℃的範圍內,或在約室溫至約45℃的範圍內,或低於約50℃,或低於約40℃,或低於約30℃。如在此說明書與所附的申請專利範圍中所用,用語「室溫」意味著約25±2℃的溫度。過剩(即,未反應)的氣體被泵送離開基材表面,以避免失去蝕刻製程的控制。
基材(或基材的一部分)的溫度是透過任何適合的手段提昇至第二溫度,該第二溫度高於氟化矽膜的等向蝕刻點。在此第二溫度,氟化矽膜從基材表面氣化,造成清潔的矽表面以及氣相中的氟化矽物種。各個實施例中,第二溫度是在約80℃至約220℃的範圍內,或在約100℃至約200℃的範圍內,或大於約80℃,或大於約90℃,或大於約100℃, 或大於約120℃,或大於約140℃。氣相中的氟化矽物種隨後從處理腔室移除,以避免一旦將基材冷卻至第一溫度之後氟化矽物種與基材表面產生進一步的反應。在空間上的原子層沉積方案中,熱元件加熱基材的該部分之後,氣相的受蝕刻層可立刻從基材表面泵送而去。
再度參考第6圖,本發明的另一實施例併入至少兩個反應性氣體以形成蝕刻層。前驅物A通口可提供第一反應性氣體至基材表面,且前驅物B通口可提供第二反應性氣體至基材表面。一起使用第一反應性氣體與第二反應性氣體,以在基材表面上建立蝕刻層。提昇基材溫度隨後使此蝕刻層氣化。
第14圖顯示此反應方案的範例,其中基材是矽且在基材表面上有氧化矽層。將第一反應性氣體遞送到基材表面以在基材表面上形成第一反應性層。圖中顯示該第一反應性氣體為水,但該第一反應性氣體也可以是氨氣,發明所屬技術領域中具有通常知識者能瞭解這點。第一反應性氣體在氧化矽表面上形成第一反應性層。過剩的第一反應性氣體從基材表面區域移除,以避免在後續步驟中有氣相反應。第二反應性氣體(圖中顯示為氫氟酸)朝基材表面流動,以與基材上的第一反應性層反應,而形成蝕刻層。過剩的氫氟酸隨後從基材表面泵送離去,以避免後續步驟中有進一步的反應。基材表面的局部溫度從第一溫度改變至第二溫度,以氣化該蝕刻層。隨後從處理腔室泵送氣化的蝕刻層。
各個實施例中,第一溫度低於約50℃,或低於約 40℃,或低於約30℃。某些實施例中,第二溫度是在約90℃至約130℃的範圍內,或約100℃至約125℃的範圍內,或約100℃至約120℃的範圍內。
應考慮其他蝕刻反應,且其他蝕刻反應在本發明之範疇內。舉例而言,鍺基材可透過例如氫氟酸蝕刻。此特定的反應類似於所述的矽蝕刻,但大體上是以較高的溫度進行。可透過例如氧或臭氧在不同溫度下蝕刻含碳材料。此外,可根據所述的製程建立與蝕刻碳膜與有機膜。碳與碳氫化合物或有機膜可使用O2或O3在不同溫度下蝕刻。最適溫度將取決於使用的特定化合物。
儘管已參考特定實施例描述此發明,但應瞭解,這些實施例僅是說明本發明的原理及應用。對於發明所屬技術領域具有通常知識者而言,能明瞭可對本發明的方法與設備製作各種修飾與變化,而不背離本發明之精神與範疇。因此,申請人希望本發明包括在所附的申請專利範圍之範疇內的修飾與變化及這些修飾與變化的等效物。
30‧‧‧氣體分佈板
60‧‧‧基材
80‧‧‧熱元件

Claims (20)

  1. 一種處理具有一表面的基材之方法,包括以下步驟:在一氣體分佈板下方橫向移動一表面,該氣體分佈板包含複數個狹長氣體通口,該等狹長氣體通口包括遞送一第一反應性氣體的一第一氣體出口以及遞送一第二反應性氣體的一第二氣體出口,該第二反應性氣體與該第一反應性氣體不同,該第二反應性氣體包含一電漿;於該基材表面形成一蝕刻層,此步驟包含連續地將該基材表面暴露至該第一反應性氣體及該第二反應性氣體;將該基材表面的溫度由一第一溫度改變至一第二溫度,該第二溫度足以氣化該蝕刻層;以及自該表面局部移除經氣化之該蝕刻層;其中,該表面的至少一個部分暴露至該第一反應性氣體或該第二反應性氣體,同時該表面之已暴露至該第二反應性氣體之一不同部分的局部溫度被改變。
  2. 如請求項1所述之方法,其中該電漿為一遠端電漿。
  3. 如請求項1所述之方法,其中該第一溫度低於該蝕刻層的一等向蝕刻點,且該第二溫度大於或等於該蝕刻層的該等向蝕刻點。
  4. 如請求項1所述之方法,其中該表面溫度是透過輻射式加熱或電阻式加熱之一或更多者而改變。
  5. 如請求項1所述之方法,其中該表面包含矽。
  6. 如請求項5所述之方法,其中該第一反應性氣體包含氟。
  7. 如請求項6所述之方法,其中該第一溫度是在約20℃至約50℃的範圍內,且該第二溫度是在約100℃至約200℃的範圍內。
  8. 如請求項1所述之方法,其中於該基材表面形成該蝕刻層之步驟進一步包含將該基材表面暴露至一第二反應性氣體,該第二反應性氣體包含一電漿,該第二反應性氣體與該第一反應性氣體不同。
  9. 如請求項8所述之方法,其中該第一反應性氣體與該第二反應性氣體之一者吸附至該表面上,而該第一反應性氣體與該第二反應性氣體之另一者與吸附之反應性氣體進行反應。
  10. 如請求項1所述之方法,其中該表面包含一二氧化矽層,且形成該蝕刻層之步驟包含將該表面暴露至水與氨之一者後,再暴露至氫氟酸。
  11. 如請求項10所述之方法,其中當使用水時,該第一溫度約為室溫,該第二溫度約為50℃,而當使用氨氣時,該第一 溫度約為低於35℃,該第二溫度約為120℃。
  12. 如請求項1所述之方法,其中該基材為矽,且該第一反應性氣體包含氟。
  13. 如請求項12所述之方法,其中該第二溫度是在約100℃至約200℃的範圍內。
  14. 一種處理基材之方法,包括以下步驟:在一氣體分佈板下方橫向移動具有一表面的基材,該氣體分佈板包含複數個狹長氣體通口,該等狹長氣體通口包括遞送一第一反應性氣體的一第一氣體出口以及遞送一第二反應性氣體的一第二氣體出口;將該第一反應性氣體遞送至該基材表面之至少一部分,以在該基材表面上形成一第一反應性層;局部移除未反應之第一反應性氣體;生成第二反應性氣體之一電漿;將包含該電漿之第二反應性氣體遞送至具有第一反應性層之該基材表面之至少一部分,以與該第一反應性層進行反應,而在該基材表面上形成一蝕刻層;局部移除未反應之第二反應性氣體;將該基材表面的溫度局部地從一第一溫度改變至一第二溫度,該第二溫度足以氣化該蝕刻層;以及移除經氣化之該蝕刻層。
  15. 如請求項14所述之方法,其中該電漿為一遠端電漿。
  16. 如請求項14所述之方法,其中該第一溫度低於該蝕刻層的一等向蝕刻點,且該第二溫度大於或等於該蝕刻層的該等向蝕刻點。
  17. 如請求項14所述之方法,其中該基材表面溫度是透過輻射式加熱或電阻式加熱之一或更多者而改變。
  18. 如請求項14所述之方法,其中該基材於該表面上包含一二氧化矽層,且該第一反應性氣體為水與氨之一者。
  19. 如請求項18所述之方法,其中該第二反應性氣體為氫氟酸,且該第一溫度約為低於50℃,而該第二溫度是在約90℃至約130℃的範圍內。
  20. 一種處理具有一表面的基材之方法,包括以下步驟:在一氣體分佈板下方橫向移動一表面,該氣體分佈板包含複數個狹長氣體通口,該等狹長氣體通口包括遞送一第一反應性氣體的一第一氣體出口以及遞送一第二反應性氣體的一第二氣體出口,該第二反應性氣體與該第一反應性氣體不同,該第二反應性氣體包含一遠端電漿;於該基材表面形成一蝕刻層,此步驟包含連續地將該基 材表面暴露至該第一反應性氣體及包含一電漿之該第二反應性氣體;將該基材表面的溫度由一第一溫度改變至一第二溫度,其中該第一溫度低於該蝕刻層的一等向蝕刻點,而該第二溫度高於該蝕刻層的該等向蝕刻點;以及自該表面局部移除經氣化之該蝕刻層;其中,該表面的至少一個部分暴露至該第一反應性氣體或該第二反應性氣體,同時該表面之已暴露至該第二反應性氣體之一不同部分的局部溫度被改變。
TW101144525A 2011-11-30 2012-11-28 用於原子層蝕刻的方法 TWI553733B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/307,524 US8633115B2 (en) 2011-11-30 2011-11-30 Methods for atomic layer etching

Publications (2)

Publication Number Publication Date
TW201340209A TW201340209A (zh) 2013-10-01
TWI553733B true TWI553733B (zh) 2016-10-11

Family

ID=48467273

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101144525A TWI553733B (zh) 2011-11-30 2012-11-28 用於原子層蝕刻的方法
TW105126941A TWI582848B (zh) 2011-11-30 2012-11-28 用於原子層蝕刻的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105126941A TWI582848B (zh) 2011-11-30 2012-11-28 用於原子層蝕刻的方法

Country Status (3)

Country Link
US (3) US8633115B2 (zh)
TW (2) TWI553733B (zh)
WO (1) WO2013082084A1 (zh)

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8633115B2 (en) * 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
KR101767855B1 (ko) * 2013-07-02 2017-08-11 울트라테크 인크. 격자 전위들을 제거하기 위한 급속 열적 프로세싱에 의한 헤테로에피택셜 층들의 형성
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170345665A1 (en) * 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6861817B2 (ja) * 2016-12-14 2021-04-21 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 急速熱活性化プロセスと連係した、プラズマを使用する原子層エッチングプロセス
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10519544B2 (en) 2017-08-24 2019-12-31 United Technologies Corporation Method for enabling optimized material deposition
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019217180A1 (en) * 2018-05-08 2019-11-14 Lam Research Corporation Atomic layer etch and deposition processing systems including a lens circuit with a tele-centric lens, an optical beam folding assembly, or a polygon scanner
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113474873A (zh) * 2018-11-15 2021-10-01 朗姆研究公司 用基于卤素的化合物进行选择性蚀刻的原子层蚀刻系统
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP2022516772A (ja) * 2019-01-15 2022-03-02 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
JP2023540034A (ja) * 2020-09-03 2023-09-21 ラム リサーチ コーポレーション 誘電体に対する選択性を有した半導体、金属、または金属酸化物の原子層エッチング
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230097269A (ko) * 2021-12-23 2023-07-03 삼성디스플레이 주식회사 기판 처리 장치
WO2023201163A1 (en) * 2022-04-13 2023-10-19 Lam Research Corporation Selective oxide etch using liquid precursor
US20230360932A1 (en) * 2022-05-03 2023-11-09 Samsung Electronics Co., Ltd. Method of fabricating an electrode structure and apparatus for fabricating the electrode structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060156797A1 (en) * 2000-09-25 2006-07-20 Labcyte Inc. Acoustic assessment of fluids in a plurality of reservoirs
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
CN102224599A (zh) * 2008-12-31 2011-10-19 应用材料股份有限公司 用于太阳能电池应用的硅表面的干法清洁

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4684436A (en) * 1986-10-29 1987-08-04 International Business Machines Corp. Method of simultaneously etching personality and select
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH05234959A (ja) * 1991-08-16 1993-09-10 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
US5368685A (en) 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JPH07183256A (ja) 1993-12-22 1995-07-21 Hitachi Ltd 原子層エッチング方法及び装置
JP3408311B2 (ja) 1994-03-25 2003-05-19 靖浩 堀池 デジタルエッチング方法及び装置
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
DE10240449B4 (de) 2002-09-02 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer dielektrischen Schicht mit geringem Leckstrom, wobei eine erhöhte kapazitive Kopplung erzeugt wird
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20070228008A1 (en) * 2004-12-06 2007-10-04 University Of Houston Medium pressure plasma system for removal of surface layers without substrate loss
US7335602B2 (en) 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8003483B2 (en) * 2008-03-18 2011-08-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
KR20110098355A (ko) 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
US8633115B2 (en) * 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060156797A1 (en) * 2000-09-25 2006-07-20 Labcyte Inc. Acoustic assessment of fluids in a plurality of reservoirs
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
CN102224599A (zh) * 2008-12-31 2011-10-19 应用材料股份有限公司 用于太阳能电池应用的硅表面的干法清洁
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas

Also Published As

Publication number Publication date
US9111876B2 (en) 2015-08-18
TWI582848B (zh) 2017-05-11
WO2013082084A1 (en) 2013-06-06
US20130137267A1 (en) 2013-05-30
US20150132961A1 (en) 2015-05-14
TW201703141A (zh) 2017-01-16
US20140106565A1 (en) 2014-04-17
US9305805B2 (en) 2016-04-05
US8633115B2 (en) 2014-01-21
TW201340209A (zh) 2013-10-01

Similar Documents

Publication Publication Date Title
TWI553733B (zh) 用於原子層蝕刻的方法
JP7090118B2 (ja) 空間的原子層堆積法による、自己整合ダブルパターニング
US20120225203A1 (en) Apparatus and Process for Atomic Layer Deposition
TWI645065B (zh) 用於批次處理的傾斜板及其使用方法
JP6924136B2 (ja) 空間的原子層堆積におけるガス分離制御
US20130143415A1 (en) Multi-Component Film Deposition
JP6359567B2 (ja) 空間分離原子層堆積のための装置およびプロセス閉じ込め
KR102197576B1 (ko) 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
US20140023794A1 (en) Method And Apparatus For Low Temperature ALD Deposition
TW201239133A (en) Apparatus and process for atomic layer deposition
TW201241232A (en) Apparatus and process for atomic layer deposition
US20130243971A1 (en) Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
KR20140023291A (ko) 연속적으로 회전되는 원자층 증착 캐러셀 및 사용 방법들
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
TW201404925A (zh) 使用快速熱處理之原子層沉積
KR101324208B1 (ko) 기판 처리 장치