TWI508295B - 半導體裝置與製造一半導體裝置的方法 - Google Patents

半導體裝置與製造一半導體裝置的方法 Download PDF

Info

Publication number
TWI508295B
TWI508295B TW102114532A TW102114532A TWI508295B TW I508295 B TWI508295 B TW I508295B TW 102114532 A TW102114532 A TW 102114532A TW 102114532 A TW102114532 A TW 102114532A TW I508295 B TWI508295 B TW I508295B
Authority
TW
Taiwan
Prior art keywords
metal
layer
wire
interconnect
metal layer
Prior art date
Application number
TW102114532A
Other languages
English (en)
Other versions
TW201347193A (zh
Inventor
Yu Po Tang
Shih Ming Chang
Ken Hsien Hsieh
Ru Gun Liu
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201347193A publication Critical patent/TW201347193A/zh
Application granted granted Critical
Publication of TWI508295B publication Critical patent/TWI508295B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置與製造一半導體裝置的方法
本發明係關於一種半導體裝置,且特別關於一種可不具有穿孔之內連線結構。
半導體積體電路工業已經歷快速成長。於積體電路材料與設計中之技術躍升已產生積體電路世代,其中各世代相較於先前之世代具有較小與更複雜的電路。然而,這些躍升增加了處理與製造積體電路的複雜度,且為了要被實現的這些躍升,需要相似的發展於積體電路處理與製造中。在積體電路進展之過程中,功能性密度已普遍地增加(即,每晶片面積之內連接裝置的數目),而幾何尺寸已減少(即,使用製造製程可被產生之最小構件)。
當半導體工業已進展成在較高裝置密度、較高性能與較低成本之追求中的奈米技術製程節點時,來自製造與設計兩者之挑戰已導致多層積體(或三維)裝置的發展。多層裝置可包括複數個內連線層,其各包括與來自其他內連線層之導線內連接的一或多個導線。然而,當持續尺寸減少時,形成與對準這些導線已被證實為困難的。
因此,儘管現存之多層裝置與製造多層裝置的方法對於它們想要之目的通常已是足夠的,但它們無法在所有方 面完全地符合要求。
本發明提供一種半導體裝置,包括:一基底;一第一導線配置於該基底之上,其中該第一導線位於一第一內連線層中且沿著一第一方向延伸;一第二導線與一第三導線各沿著不同於該第一方向之一第二方向延伸,其中該第二與第三導線位於不同於該第一內連線層之一第二內連線層中,且其中該第二與第三導線藉由一缺口來分隔,該缺口位於該第一導線與之上或之下;以及一第四導線將該第二與第三導線電性耦接在一起,該第四導線位於一第三內連線層中,該第三內連線層不同於該第一內連線層與該第二內連線層。
本發明另提供一種半導體內連線結構,包括:一第一金屬層形成於一基底之上,該第一金屬層包含一第一金屬線其定向於一第一方向;一第二金屬層形成於該基底之上,該第二金屬層不同於該第一金屬層且包含一第二金屬線、一第三金屬線與分隔該第二與第三金屬線之一介電成分,該第二與第三金屬線定向於不同於該第一方向之一第二方向;以及一第三金屬層形成於該基底之上,該第三金屬層不同於該第一金屬層與該第二金屬層,該第三金屬層包含一第四金屬線位於該介電成分之上或之下,且橋接該第二與第三金屬線。
本發明還提供一種製造一半導體裝置的方法,包括:形成一第一金屬層於一基底之上,該第一金屬層包含複數個第一金屬線以一第一方向延伸;形成一第二金屬層於該基底之上,該第二金屬層不同於該第一金屬層,該第二金屬層包含 複數個第二金屬線以不同於該第一方向之一第二方向延伸,該些第二金屬線被一或多個介電成分所分隔,其中該些第二金屬線之一第一子集直接位於該些第一金屬線之一第一子集上,且其中分隔該些第二金屬線之一第二子集之該些介電成分的一個直接位於該些第一金屬線的一第二子集上;以及形成一第三金屬層位於該基底之上,該第三金屬層不同於該第一金屬層與該第二金屬層,該第三金屬層包含至少一第三金屬線,該第三金屬線位於該些第二金屬線之該第二子集之上或之下,且位於該第二金屬層之該介電成分之上或之下,其中該些第二金屬線之該第二子集藉由該第三金屬線來電性耦接在一起。
為了讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉較佳實施例,並配合所附圖示,作詳細說明如下:
20‧‧‧方法
25、30、35‧‧‧方塊
40‧‧‧半導體裝置
50‧‧‧基底
100、200、300、400‧‧‧內連線層
110、111B、180C、210、250、410‧‧‧金屬線
120、310、420‧‧‧介電材料
150‧‧‧介電層
160‧‧‧圖案化光阻層
170‧‧‧開口
180‧‧‧導電材料
180A、180B‧‧‧金屬線片段
190‧‧‧研磨製程
220、230‧‧‧自動對準穿孔
270、350‧‧‧內連線結構
第1圖為一流程圖,其繪示根據本發明各種方面之一製造一半導體裝置的方法。
第2-5與8-10圖為關於本發明各種方面之半導體裝置在不同製造階段的概略不連續橫切面側圖。
第6-7與11圖為關於本發明各種方面之半導體裝置在不同製造階段的概略不連續上視圖。
現代半導體裝置可利用一內連線結構來執行介於一半導體晶圓上之各種構件與結構之間的電性路徑(electrical routing),並可與外部裝置建立電性連接。例如,一內連線結構可包括複數個圖案化介電層與內連接導線層。這些內連接導線層提供介於形成於一半導體基底中的線路、輸入/輸出與各種摻雜結構之間的內連接。更詳細而言,內連線結構可包括複數個內連線層,也意指為金屬層(例如,M1、M2、M3等)。內連線層之各個包括複數個內連線結構,也意指為金屬線。內連線結構的層間介電層(interlayer dielectric,ILD)提供介於金屬線之間的隔離。
一內連線結構也可包括複數個穿孔(via)/接觸窗(contact),其提供介於來自不同內連線層之金屬線之間的電性連接。例如,一穿孔可垂直延伸且因此允許一來自M1層之一金屬線與來自M2層之另一金屬線電性連接。由於半導體裝置製造技術持續發展,於一半導體裝置上之各種結構的尺寸變得越來越小,包括穿孔與金屬線的尺寸。此引起製造的挑戰。例如,穿孔的形成可涉及一或多個光微影(lithography)與蝕刻製程。與這些製程相關的變化(例如臨界尺度一致性變化(critical dimension uniformity variation)或光微影覆蓋誤差(lithography overlay error))使穿孔對準問題惡化。或者,裝置尺寸縮小(device scaling down)製程可能在介於穿孔與內連接金屬線之上及/或之下間的精確對準方面放置一更嚴厲的要求,由於一小的位移可導致穿孔與金屬線沒有對準。因此,需要一沒有遭受這些穿孔對準問題的經改善的內連線結構。
根據本發明種方面,揭露一無穿孔(via-free)內連線結構。無穿孔內連線結構包含金屬層,其中金屬線與來自不 同金屬層的其他金屬線直接地耦接,藉此排除對於穿孔的需要以執行內連接。換句話說,金屬線為自動對準(self-aligned)。此類內連線結構的各種方面於以下被更詳細描述。
第1圖為製造本發明一內連線結構之一方法20的流程圖。方法20包括一方塊25,於其中形成一第一金屬層於一基底之上。第一金屬層包含複數個第一金屬線以一第一方向延伸。方法20包括一方塊30,於其中形成一第二金屬層於第一金屬之上。第二金屬層包含複數個第二金屬線以不同於第一方向之一第二方向延伸。該些第二金屬線藉由一或多個介電成分來分隔。該些第二金屬線的一第一子集直接位於該些第一金屬線的一第一子集上。分隔該些第二金屬線之一第二子集之該些介電成分的一個直接位於該些第一金屬線的一第二子集上。方法20包括一方塊35,於其中形成一第三金屬層於第二金屬層之上。第三金屬層包含至少一第三金屬線,第三金屬線位於該些第二金屬線之第二子集之上,且位於該第二金屬層之該介電成分之上。該些第二金屬線之第二子集藉由第三金屬線來電性耦接在一起。在一些實施例中,第一金屬層、第二金屬層與第三金屬層被形成為沒有電性穿孔。
第2-5圖為關於本發明不同方面之一半導體裝置40(其包括一內連線結構)於不同製造階段的概略不連續橫切面側圖。可以瞭解的是,為了可更瞭解本發明之發明內容第2-5圖已被簡化。因此,需注意的是,額外之製程可被提供於顯示於第2-5圖中的製程之前、之期間,與之後,且一些其他製程可能僅被簡單敘述於此。
參見第2圖,半導體裝置40可為半導體積體電路晶片、系統晶片(system on chip,SoC)或其部分,半導體裝置40可包括記憶電路、邏輯電路、高頻電路(high frequency circuit)、影像感測器與各種被動與主動構件,例如電阻器、電容器與電感器(inductor)、P-通道場效電晶體(p-channel field effect transistor,pFET)、N-通道場效電晶體(n-channel field effect transistor,nFET)、金氧半導體場效電晶體(metal-oxide semiconductor field effect transistor,MOSFET)或互補金氧半導體電晶體(metal-oxide semiconductor transistor,CMOS transistor)、雙極接面電晶體(bipolar junction transistor,BJT)、橫向擴散金氧半導體電晶體(laterally diffused metal-oxide semiconductor transistor)、高功率金氧半導體電晶體或其他形式之電晶體。需注意的是,半導體裝置40的一些結構可以一互補金氧半導體電晶體製造流程來製造。
半導體裝置40包括一基底50。於實施例中顯示,基底50為一矽基底其被以一P型摻雜物,例如硼所摻雜。在另一實施例中,基底50為一矽基底其被以一N型摻雜物,例如砷或磷所摻雜。或者,基底可由一些其他適合之示例的半導體材料,例如鑽石或鍺;適合之化合物半導體,例如碳化矽、砷化銦或磷化銦;或適合之合金半導體,例如碳化矽鍺、磷化鎵砷或磷化鎵銦所製成。此外,在一些實施例中,基底50可包括一磊晶層(epitaxial layer,epi layer)、為了效能的增強可被伸張,且可包括一絕緣層上矽(silicon on insulator,SOI)結構。
於基底50中形成隔離結構。在一些實施例中,隔 離結構包括淺溝槽隔離(shallow trench isolation,STI)裝置。淺溝槽隔離裝置包含一介電材料,其可為氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽(fluoride-doped silicate,FSG)及/或本技術領域已知之一低介電常數材料。藉由於基底50中蝕刻溝槽且之後以介電材料來填滿此溝槽來形成淺溝槽隔離裝置。在其他實施例中,也可形成深溝槽隔離結構(deep trench isolation,DTI)裝置來代替(或結合)淺溝槽隔離裝置為隔離結構。為了簡明的理由,隔離結構並未於此特別說明。
也於基底中形成複數個微電子(microelectronic)構件。例如,藉由一或多個離子佈值及/或擴散製程,可於基底50中形成場效電晶體裝置的源極與汲極區。依照另一例子,可於基底50中形成輻射靈敏影像像素(radiation-senstivite image pixels)。為了簡明的理由,這些微電子構件並未於此特別說明。
一內連線層100形成於基底50之上。內連線層100也可意指為一導電層或一金屬層,由於其包含複數個金屬線110。金屬線110可為鋁內連線或銅內連線,並可包括導電材料,例如鋁、銅、鋁合金、銅合金、鋁/矽/銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物或其組合。金屬線110可藉由複數個製程來形成,包括,但不限於物理氣相沈積、化學氣相沈積、濺鍍、電鍍、蝕刻、研磨等。例如,金屬線110可藉由一鑲嵌製程(damascene process)來形成。
內連線層100也包含一介電材料120(也意指為一層間介電層或ILD),其提供介於金屬線110之間的隔離。介電材料120可包括一介電材料,例如一氧化物材料。或者,介電 材料可包括氮氧化矽、一低介電常數材料,例如氟化矽玻璃(fluorinated silica glass,FSG)、碳摻雜氧化矽(carbon doped silicon oxide)、Black Diamond® (Applied Materials of Santa Clara,California)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、氟化非晶碳(amorphous fluorinated carbon)、聚對二甲苯(Parylene)、BCB(bisbenzocyclobutenes)、SiLK(Dow Chemical,Midland,Michigan)、聚亞醯胺(polyimide)及/或其他適合的材料。介電材料120可藉由任何適合之製程來形成,包括化學氣相沈積、物理氣相沈積、濺鍍高密度電漿化學氣相沈積(high density plasma CVD(HDP-CVD))、旋塗(spin-on)或其他適合之方法。化學氣相沈積,例如可使用,化學品,包括六氯矽烷(Hexachlorodisilane(HCD或Si2 C16 ))、二氯甲矽烷(Dichlorosilane(DCS或SiH2 Cl2 ))、雙(叔丁基氨基)矽烷(Bis(TertiaryButylAmino)Silane(BTBAS或C8 H22 N2 Si))與二矽乙烷(Disilane(DS或Si2 H6 ))。
之後形成一較高層之內連線層於內連線層100之上。做為較高層之內連線層的形成的一部分,首先於內連線層100上形成一介電層150。介電層150實質上具有與介電層120相同的材料組成。
之後於介電層150上形成一圖案化光阻層160以定義出介電層150隨後將被蝕刻的區域。圖案化光阻層160可藉由複數個光微影製程來形成,其可包括:將一層之光阻材料旋塗於介電層150之表面上;將光阻材料曝光於一罩幕圖案;執行一曝後烤(post-exposure bake)製程;將光阻材料顯影,藉此形 成圖案化光阻層160。在其他實施例中,也可藉由其他適合之方法來實施或取代圖案化,例如,無光罩微影(maskless photolithography)、電子束寫入(electron-beam writing)、離子束寫入(ion-beam writing)與分子拓印(molecular imprint)。
現在參見第3圖,藉由圖案化光阻層160來將介電層150圖案化,藉此形成一或多個開口170,其露出內連線層100之一或多個線110的上表面。介電層150的圖案化可包括一蝕刻製程,其使用圖案化光阻層160為一罩幕以定義出要被蝕刻的區域。蝕刻製程可為一單一步驟或多重步驟蝕刻製程。此外,蝕刻製程可包括溼蝕刻、乾蝕刻或其組合。乾蝕刻製程可為一非等向性蝕刻製程(anisotropic etching process)。蝕刻製程可使用反應性離子蝕刻(reactive ion etch,RIE)及/或其他適合之製程。在一例子中,使用一乾蝕刻製程來蝕刻介電層150,其包括一包括含氟氣體的化學作用。
在蝕刻製程完成並定義介電層150後,藉由任何適合之製程可移除光阻層160。例如,光阻層160可藉由一液體“光阻剝除器(resist stripper)”來移除,其化學地改變光阻以使光阻不再黏附至下方層。或者,光阻層160可藉由一含氧電漿來移除,其將光阻氧化。
參見第4圖,藉由一適合之沈積製程來形成一導電材料180以填滿開口170(顯示於第3圖中)。在各種實施例中,導電材料180包括金屬,例如銅、鋁、鎢或其組合。如於第4圖中所繪示,導電材料180之一部分直接形成在金屬線110之所需要的一個上。因此,介於導電材料180與於其下方之金屬線110 之間的界面有效地構成一自動對準內連接機制(self aligned interconnect mechanism)。自動對準的理由是因為,於導電材料180與金屬線110之間沒有穿孔需要被定義或形成。做為替代地,金屬線110與導電材料180為直接物理接觸且因此被電性耦接在一起。
現在參見第5圖,執行一研磨製程190以移除導電材料180於開口170外面的部分且將介電層150與導電材料180之表面平坦化。在一些實施例中,研磨製程190包括一化學機械研磨製程(chemical-mechanical-polishing,CMP)。可以瞭解的是,在一些實施例中,在將導電材料180沈積進入開口170中之前,不需移除光阻材料,而且藉由隨著超出之導電材料180的研磨製程190可移除光阻材料。
在此製造階段,形成一內連線層200。內連線層200包括導電材料180,其為金屬線(相似於金屬線110),且可被意指為此類。內連線層200也包括介電層150其提供對金屬線180的物理與電性隔離。再次,一或多個來自內連線層100之金屬線110為與一或多個來自內連線層200之金屬線180直接物理接觸。因此,這些金屬線為“自動對準”,由於它們的內連接不需要電性穿孔。為了達成介於來自不同內連線層100與200之這些金屬線110或180之間的內連接,開口170(於其中形成金屬線180)之位置與尺寸被設定以從較低之內連線層100露出一所需之金屬線110。於此方式中,可保證介於金屬線110與180之間的直接物理與電性接觸。
上方所討論之安排路徑方式排除於內連線結構中 之實際穿孔,由於介於來自不同之鄰接內連線層之金屬線之間的界面或內連接有效地構成自動對準穿孔。然而,有時此安排路徑方式可能導致“不想要的穿孔”。此“不想要的穿孔”的狀況被更清楚地繪示於第6圖中,其為一內連線結構之簡化概略不連續的上視圖。
如於第6圖中所示,金屬線110屬於下層之內連線層100(第5圖),且金屬線180與210屬於上層之內連線層200(第5圖)。從第6圖之上視圖,金屬線110延伸於(或定向於)一Y方向,且金屬線180與210各延伸於一X方向。X方向與Y方向彼此垂直。由於金屬線110與金屬線180與210為直接物理接觸無論在哪它們部分重疊或交叉,因此藉由介於金屬線110與金屬線210與180之間的界面或內連接來形成有效之“自動對準穿孔”220與230。在這些“穿孔”220與230中,假定穿孔220為一所需之穿孔,但穿孔230為一不想要或不需要的穿孔。因此需執行措施以確保不想要之穿孔230被消除以使其不引起非計畫中之電性結果(electrical consequence)。
第7圖繪示一安排路徑方案,其根據本發明之各種方面來解決上方所討論之不想要的穿孔問題。更詳細而言,第7圖繪示一內連線結構之簡化概略不連續的上視圖,其消除不想要的穿孔。參見第7圖,金屬線180,其將導致第6圖之不想要的穿孔230,現在已被切斷(實質上分開)成為金屬線片段180A與180B。藉由執行此,不再有任何部分重疊或交叉介於金屬線180與金屬線110之間,藉此消除了第6圖之不想要的穿孔230。然而,原始佈局(layout)可能需要具有電性連慣性 (electrical continuity)的一單一金屬線180。因此,形成一金屬線250於另一內連線層中以將金屬線片段180A與180B電性耦接在一起。金屬線250以與金屬線片段180A-180B相同之方向延伸,由於金屬線250扮演一用於將金屬線片段180A-180B耦接在一起的橋樑。在其中存在金屬線250的內連線層可位於在其中存在金屬線片段180A-180B的內連線層(例如,第5圖之內連線層200)的一層或數層之上。需注意的是,在特定實施例中,金屬線250可二擇一地存在於在內連線層100之下的一內連線層中。相似地,在一些實施例中,代替切斷金屬線180,可切斷金屬線110也同樣地避免一不想要的穿孔,且可實施一“橋接”金屬片段於在金屬線180之上的一內連線層中(例如,內連線層300)或於金屬線110之下的一內連線層中(例如,在內連線層100之下的一內連線層)。
為了更清楚地圖解說明金屬線250的配置,現在參見第8圖,其為一內連線結構270之簡化概略不連續之橫切面側圖。藉由從於第7圖之上視圖中的點A至點B採取橫切面以獲得內連線結構270的橫切面側圖。內連線結構270包括複數個內連線層100、200與300。內連線100為一下層之內連線,例如一M1層。金屬線110位於內連線層100中且被介電材料120所圍繞。內連線層200為一中間層之內連線層,例如一M2層。金屬線片段180A-180B位於內連線層200中。介電材料150隔離金屬線片段180A-180B,且因此構成一“缺口(gap)”介於金屬線片段180A-180B之間。內連線層300為一上層之內連線層,例如一M3層。金屬線250位於內連線層300中。於內連線層300中之介 電材料310提供對於金屬線250的隔離。
如上所討論,金屬線片段180A-180B被分成這些之兩個分隔的片段,由於一單一連續之金屬線會與金屬線110導致一不想要的界面(或不想要的穿孔)。為了確保金屬線片段180A-180B仍然電性耦接在一起,於金屬線片段180A-180B之上的內連線層300中形成金屬線250以作為一橋樑。如於第8圖中所示,金屬線250的一部份配置於介電材料150之上,即介於金屬線片段180A-180B之間的缺口之上。將金屬線250之末端部分配置於金屬線片段180A-180B上並分別與金屬線片段180A-180B物理接觸。於此方式中,金屬線110不再與金屬線片段180A-180B電性耦接-藉此消除不想要的穿孔-但藉由金屬線250仍保持金屬線片段180A-180B的電性連慣性。再次,顯示於第8圖中之結構僅為繞過不想要之內連接以移除不需要之穿孔的一個例子。在其他實施例中,可切斷金屬線110或是金屬線180以避免與其中的另外一個內連接,且可執行“橋接”金屬片段於金屬線100或180之上或下的任何內連線層中。
第9圖為內連線結構270之另一簡化概略不連續橫切面側圖。除了顯示來自第8圖之相同元件外(例如,金屬線180A-180B或250),於第9圖中之橫切面圖更包括與顯示於內連線層100中之一金屬線110B,其與在內連線層200中之一金屬線180C直接物理接觸。換句話說,介於金屬線110B與180C之間的界面/內連接構成一所需的“自動對準穿孔”。可以瞭解的是,於第9圖中之內連線結構被簡易地提供以更詳細顯示本發明(由於其繪示一所需“自動對準穿孔”與如何繞過一不想要 之穿孔兩者),且因此與第8圖之內連線結構一致。
第10圖根據也克服不想要之穿孔問題的一替代實施例繪示一內連線結構350之一簡化概略不連續橫切面側圖。內連線結構350包括複數個內連線層100、200、300與400。內連線結構350之內連線層100、200與300實質上與顯示於第8圖中之內連線結構270的那些相似。因此,由於簡化的原因,這些內連線層與它們的構件的細部不被再次重複。然而,一個不同處為內連線層300不直接配置於內連線200的頂部上。作為替代地,另一內連線層400夾設於內連線層200與300之間。換句話說,之前內線層300為一M3層(即,於第8圖中),而內連線結構350之內連線層300則為一M4層。內連線層400現在構成M3層。
內連線層400包括複數個金屬線410,其藉由一介電材料420來隔離。金屬線410與金屬線250及金屬線片段180A-180B直接物理接觸並夾設於其之間。介電材料420提供一缺口介於該些金屬線410之間。經由金屬線410,金屬線片段180A-180B藉由金屬線250來電性耦接在一起。換句話說,在將金屬線片段180A-180B橋接在一起之前,第10圖之安排路徑方案跳過並非一個而是兩個內連線層。
為了更完整繪示藉由內連線結構350所提供之安排路徑方案,第11圖顯示內連線結構350的一簡化概略不連續上視圖。藉由從於第11圖之上視圖中的點A至點B採取橫切面以獲得於繪示於第10圖中之內連線結構350的橫切面側圖。如於第11圖中所示,金屬線410以與金屬線110相同之方向延伸, 即沿著Y方向。金屬線410提供介於金屬線180A-180B與金屬線250之間的電性耦接。
根據上方所討論,可以看到本發明之實施例提供優點,可瞭解不同之實施例可提供不同的優點,且並非所有的優點被討論於此,且對於所有實施例而言並無要求特定的優點。本發明之特定實施例的其他優點之一為,藉由從要被彼此互相物理性接觸之兩個不同的內連線層形成金屬線,於內連線結構中不需要穿孔。介於部分重疊之金屬線之間的界面有效地構成自動對準穿孔。實際穿孔的消除減少了因對準或覆蓋錯誤或因缺乏製程均一性(process uniformity)所導致的製造缺陷。
本發明實施例之另一優點為消除了“不想要的穿孔”,其為介於來自不同金屬層之金屬線之間的不想要的內連接。不是使兩金屬線部分重疊而形成不需要之界面/內連接,本發明將金屬線之一切斷成為分隔的金屬線片段。之後藉由位於一不同內連線層中之另一金屬線來將金屬線片段橋接在一起。藉由執行此,可消除不需要之內連接或穿孔,並仍然維持電性完整,由於兩分割之金屬線仍然彼此電性連結。
需瞭解的是,儘管根據本發明之內連線結構可被形成為完全無穿孔,其不需必然以那方式來實施。例如,在一些替代實施例中,藉由使用本發明之安排路徑方案,一些內連線層可被形成為無穿孔,而其他內連線可仍然使用實際穿孔以內連接它們的金屬線。根據設計要求與製造考量,可設置與實施內連線結構之特定安排路徑的方案。此外,仍可使用一些電性接觸窗(electrical contact)以提供電性連接或電性通道至形 成於一晶圓上的半導體結構,例如一電晶體裝置之源極、汲極與閘極區域。
本發明之一較廣的形式包括一種半導體裝置。半導體裝置包括:一基底;一第一導線配置於基底之上,其中第一導線位於一第一內連線層中且沿著一第一方向延伸;一第二導線與一第三導線各沿著不同於第一方向之一第二方向延伸,其中第二與第三導線位於不同於第一內連線層之一第二內連線層中,且其中第二與第三導線藉由一缺口來分隔,缺口位於第一導線與之上或之下;以及一第四導線將第二與第三導線電性耦接在一起,第四導線位於一不同於第二內連線層的第三內連線層中。
在一些實施例中,第四導線沿著第二方向延伸。
在一些實施例中,第一方向垂直於第二方向。
在一些實施例中,第四導線配置於介於第二與第三導線之間的缺口之上。
在一些實施例中,半導體裝置更包括:一第五導線位於第一內連線層中;與一第六導線位於第二內連線層中,其中第五導線與第六導線為直接物理接觸。
在一些實施例中,半導體裝置更包括:一第五導線配置於第二導線與第四導線之間;與一第六導線配置於第三導線與第四導線之間,其中第五與第六導線位於一第四內連線層中,第四內連線層配置於第二內連線層與第三內連線層之間。
在一些實施例中,第五與第六導線各沿著第一方 向延伸。
在一些實施例中,第一、第二與第三內線層之至少一個沒有穿孔。
在一些實施例中,介於第二與第三導線之間的缺口被一介電成分所填滿。
本發明之另一較廣的形式包括一種半導體裝置。半導體裝置包括:一第一金屬層形成於一基底之上,第一金屬層包含一第一金屬線其定向於一第一方向;一第二金屬層形成於基底之上,第二金屬層不同於第一金屬層,第二金屬層包含一第二金屬線、一第三金屬線與分隔第二與第三金屬線之一介電成分,第二與第三金屬線定向於不同於第一方向之一第二方向;與一第三金屬層形成於基底之上,第三金屬層不同於第一金屬層與第二金屬層,第三金屬層包含一第四金屬線位於介電成分之上或之下,且橋接第二與第三金屬線。
在一些實施例中,第四金屬線定向於第二方向;與第一方向垂直於第二方向。
在一些實施例中,第一金屬層更包含一第五金屬線;與第二金屬層更包含一第六金屬線其直接鄰接第五金屬線。
在一些實施例中,半導體裝置更包括一第四金屬層,其形成於第二金屬層與第三金屬層之間,且其中第四金屬層包含:一第五金屬線,其形成於第二金屬線與第四金屬線之間:與一第六金屬線,其形成於第三金屬線與第四金屬線之間。
在一些實施例中,第五與第六金屬線為各自定向 於第一方向。
在一些實施例中,第一、第二與第三金屬層的至少一個沒有電性穿孔。
本發明之又另一較廣的形式包括一種製造一半導體裝置的方法。方法包括:形成一第一金屬層於一基底之上,第一金屬層包含複數個第一金屬線以一第一方向延伸;形成一第二金屬層於基底之上,第二金屬層不同於第一金屬層,第二金屬層包含複數個第二金屬線以不同於第一方向之一第二方向延伸,該些第二金屬線被一或多個之介電成分所分隔,其中該些第二金屬線之一第一子集直接位於該些第一金屬線之一第一子集上,且其中分隔該些第二金屬線之一第二子集之該些介電成分的一個直接位於該些第一金屬線的一第二子集上;與形成一第三金屬層位於基底之上,第三金屬層不同於第一金屬層與第二金屬層,第三金屬層包含至少一第三金屬線,第三金屬線位於該些第二金屬線之第二子集之上或之下,且位於第二金屬層之介電成分之上或之下,其中該些第二金屬線之第二子集藉由第三金屬線來電性耦接在一起。
在一些實施例中,執行形成第一金屬層、形成第二金屬層與形成第三金屬層以使第一金屬層、第二金屬層與第三金屬層的至少一個沒有電性穿孔。
在一些實施例中,第三金屬線以第二方向延伸;與第一方向垂直於第二方向。
在一些實施例中,方法更包括:形成一第四金屬層介於第二金屬層與第三金屬層之間,其中第四金屬層包含複 數個第四金屬線,其將第三金屬線與該些第二金屬線之第二子集電性耦接在一起。
在一些實施例中,該些第四金屬線以第一方向延伸。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
50‧‧‧基底
100、200、300‧‧‧內連線層
110、250‧‧‧金屬線
120、310‧‧‧介電材料
150‧‧‧介電層
180A、180B‧‧‧金屬線片段
270‧‧‧內連線結構

Claims (10)

  1. 一種半導體裝置,包括:一基底;一第一導線配置於該基底之上,其中該第一導線位於一第一內連線層中且沿著一第一方向延伸;一第二導線與一第三導線各沿著不同於該第一方向之一第二方向延伸,其中該第二與第三導線位於不同於該第一內連線層之一第二內連線層中,且其中該第二與第三導線藉由一缺口來分隔,該缺口位於該第一導線與之上或之下;以及一第四導線將該第二與第三導線電性耦接在一起,該第四導線位於一第三內連線層中,該第三內連線層不同於該第一內連線層與該第二內連線層。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該第四導線沿著該第二方向延伸。
  3. 如申請專利範圍第1項所述之半導體裝置,其中該第四導線配置於介於該第二與第三導線之間的該缺口之上。
  4. 如申請專利範圍第1項所述之半導體裝置,更包括:一第五導線位於該第一內連線層中;以及一第六導線位於該第二內連線層中;其中,該第五導線與該第六導線為直接物理接觸。
  5. 如申請專利範圍第1項所述之半導體裝置,更包括:一第五導線配置於該第二導線與該第四導線之間;以及一第六導線配置於該第三導線與該第四導線之間; 其中,該第五與第六導線位於一第四內連線層中,該第四內連線層配置於該第二內連線層與該第三內連線層之間。
  6. 如申請專利範圍第5項所述之半導體裝置,其中該第五與第六導線各沿著該第一方向延伸。
  7. 如申請專利範圍第1項所述之半導體裝置,其中該第一、第二與第三內線層之至少一個沒有穿孔。
  8. 如申請專利範圍第1項所述之半導體裝置,其中介於該第二與第三導線之間的該缺口被一介電成分所填滿。
  9. 一種製造一半導體裝置的方法,包括:形成一第一金屬層於一基底之上,該第一金屬層包含複數個第一金屬線以一第一方向延伸;形成一第二金屬層於該基底之上,該第二金屬層不同於該第一金屬層,該第二金屬層包含複數個第二金屬線以不同於該第一方向之一第二方向延伸,該些第二金屬線被一或多個介電成分所分隔,其中該些第二金屬線之一第一子集直接位於該些第一金屬線之一第一子集上,且其中分隔該些第二金屬線之一第二子集之該些介電成分的一個直接位於該些第一金屬線的一第二子集上;以及形成一第三金屬層位於該基底之上,該第三金屬層不同於該第一金屬層與該第二金屬層,該第三金屬層包含至少一第三金屬線,該第三金屬線位於該些第二金屬線之該第二子集之上或之下,且位於該第二金屬層之該介電成分之上或之下,其中該些第二金屬線之該第二子集藉由該第三金屬線來電性耦接在一起。
  10. 如申請專利範圍第9項所述之製造一半導體裝置的方法,其中執行該形成該第一金屬層、該形成該第二金屬層與該形成該第三金屬層以使該第一金屬層、該第二金屬層與該第三金屬層的至少一個沒有電性穿孔。
TW102114532A 2012-05-01 2013-04-24 半導體裝置與製造一半導體裝置的方法 TWI508295B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/461,224 US8779592B2 (en) 2012-05-01 2012-05-01 Via-free interconnect structure with self-aligned metal line interconnections

Publications (2)

Publication Number Publication Date
TW201347193A TW201347193A (zh) 2013-11-16
TWI508295B true TWI508295B (zh) 2015-11-11

Family

ID=49491692

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102114532A TWI508295B (zh) 2012-05-01 2013-04-24 半導體裝置與製造一半導體裝置的方法

Country Status (4)

Country Link
US (2) US8779592B2 (zh)
KR (1) KR101412292B1 (zh)
CN (1) CN103383937B (zh)
TW (1) TWI508295B (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9627310B2 (en) * 2012-04-11 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned interconnects
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
KR102000622B1 (ko) * 2013-01-17 2019-07-16 삼성전자주식회사 반도체 장치 및 이의 제조 방법
JP2015141929A (ja) * 2014-01-27 2015-08-03 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
US9620454B2 (en) 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods
US9478492B2 (en) 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having slot via and method of forming the same
KR102421731B1 (ko) 2015-07-31 2022-07-18 삼성전자주식회사 반도체 소자의 배선 형성 방법
US10269697B2 (en) 2015-12-28 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10062648B2 (en) 2016-02-26 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US9741690B1 (en) 2016-09-09 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US10658296B2 (en) 2016-09-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric film for semiconductor fabrication
CN108022905A (zh) * 2016-11-04 2018-05-11 超威半导体公司 使用多个金属层的转接板传输线
US10916498B2 (en) 2018-03-28 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for logic circuit
US10978337B2 (en) 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11355442B2 (en) 2019-05-10 2022-06-07 International Business Machines Corporation Forming self-aligned multi-metal interconnects
US10867917B1 (en) * 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device, associated method and layout
US11532547B2 (en) 2019-08-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with low-aspect-ratio contact vias
US11139236B2 (en) 2019-08-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11114374B2 (en) 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene enabled selective barrier layer formation
US11251118B2 (en) 2019-09-17 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned via structures with barrier layers
US11276637B2 (en) 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof
US11036911B2 (en) 2019-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Charging prevention method and structure
US11127684B2 (en) 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures
US11967550B2 (en) * 2020-05-22 2024-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with via extending across adjacent conductive lines and method of forming the same
US11264326B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact via formation
US11450609B2 (en) 2020-05-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Electro-migration reduction
DE102020126161B4 (de) 2020-05-29 2024-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Reduzierung der Elektromigration
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11742210B2 (en) 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
US11817491B2 (en) 2020-07-21 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an air gap along a gate spacer
US11387331B2 (en) 2020-07-22 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11652149B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11798846B2 (en) 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
US11769725B2 (en) * 2020-11-05 2023-09-26 Changxin Memory Technologies, Inc. Integrated circuit device and formation method thereof
US11658215B2 (en) 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact structures
US11942414B2 (en) * 2021-09-17 2024-03-26 Qualcomm Incorporated Integrated circuits (ICs) employing directly coupled metal lines between vertically-adjacent interconnect layers for reduced coupling resistance, and related methods
CN114203678B (zh) * 2022-02-18 2022-05-06 威海嘉瑞光电科技股份有限公司 一种集成封装结构及其制造方法
US20240194586A1 (en) * 2022-12-09 2024-06-13 International Business Machines Corporation Semiconductor structure with backside metallization layers

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020111012A1 (en) * 1996-06-11 2002-08-15 International Business Machines Corporation Partially-overlapped interconnect structure and method of making
US20030001270A1 (en) * 1999-07-12 2003-01-02 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved multi-layer interconnection structure and manufacturing method thereof
US20040164419A1 (en) * 2000-05-31 2004-08-26 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US20090166868A1 (en) * 2007-01-29 2009-07-02 Samsung Electronics, Co., Ltd. Semiconductor devices including metal interconnections and methods of fabricating the same
KR20110075354A (ko) * 2009-12-28 2011-07-06 삼성전기주식회사 웨이퍼 레벨 패키지 및 그 제조방법
US20110215469A1 (en) * 2005-07-22 2011-09-08 Megica Corporation Method for forming a double embossing structure

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4677742A (en) * 1983-01-18 1987-07-07 Energy Conversion Devices, Inc. Electronic matrix arrays and method for making the same
JPS6267851A (ja) * 1985-09-20 1987-03-27 Hitachi Ltd 半導体集積回路装置
US4840923A (en) * 1986-04-30 1989-06-20 International Business Machine Corporation Simultaneous multiple level interconnection process
US4776087A (en) * 1987-04-27 1988-10-11 International Business Machines Corporation VLSI coaxial wiring structure
US4987101A (en) * 1988-12-16 1991-01-22 International Business Machines Corporation Method for providing improved insulation in VLSI and ULSI circuits
US5191405A (en) * 1988-12-23 1993-03-02 Matsushita Electric Industrial Co., Ltd. Three-dimensional stacked lsi
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5169802A (en) * 1991-06-17 1992-12-08 Hewlett-Packard Company Internal bridging contact
US5471094A (en) * 1994-02-24 1995-11-28 Integrated Device Technology, Inc. Self-aligned via structure
JPH07245343A (ja) * 1994-03-03 1995-09-19 Toshiba Corp 半導体装置及びその製造方法
JP3432963B2 (ja) * 1995-06-15 2003-08-04 沖電気工業株式会社 半導体集積回路
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US6576848B1 (en) * 1996-11-22 2003-06-10 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
JP3638778B2 (ja) * 1997-03-31 2005-04-13 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US6211073B1 (en) * 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
JP4565678B2 (ja) * 1998-05-28 2010-10-20 株式会社ハイニックスセミコンダクター 半導体素子の多層配線構造の製造方法
TW370716B (en) * 1998-07-23 1999-09-21 United Microelectronics Corp Structure and method for manufacturing interconnects
US7157314B2 (en) * 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6657302B1 (en) * 1999-01-12 2003-12-02 Agere Systems Inc. Integration of low dielectric material in semiconductor circuit structures
JP2000216264A (ja) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos論理回路素子、半導体装置とその製造方法およびその製造方法において用いる半導体回路設計方法
US7276788B1 (en) * 1999-08-25 2007-10-02 Micron Technology, Inc. Hydrophobic foamed insulators for high density circuits
JP2001196372A (ja) * 2000-01-13 2001-07-19 Mitsubishi Electric Corp 半導体装置
JP3920590B2 (ja) * 2000-06-19 2007-05-30 株式会社東芝 半導体装置の製造方法
JP2002118235A (ja) * 2000-10-10 2002-04-19 Mitsubishi Electric Corp 半導体装置、半導体製造方法、および半導体製造用マスク
DE10058078C1 (de) * 2000-11-23 2002-04-11 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Analysierschutz und Verfahren zur Herstellung der Anordnung
US6627530B2 (en) * 2000-12-22 2003-09-30 Matrix Semiconductor, Inc. Patterning three dimensional structures
US6399471B1 (en) * 2001-02-15 2002-06-04 Chartered Semiconductor Manufacturing Ltd. Assorted aluminum wiring design to enhance chip-level performance for deep sub-micron application
US6710425B2 (en) * 2001-04-26 2004-03-23 Zeevo, Inc. Structure to increase density of MIM capacitors between adjacent metal layers in an integrated circuit
JP2002329783A (ja) * 2001-04-27 2002-11-15 Toshiba Corp 配線パターンの自動レイアウト方法、レイアウトパターンの光学補正方法、自動レイアウト方法と光学補正方法に基づいて製造される半導体集積回路、および自動レイアウト光学補正プログラムを記録した記録媒体
JP2003031662A (ja) * 2001-07-16 2003-01-31 Mitsubishi Electric Corp 半導体集積回路の配線方法、半導体集積回路、及び配線方法をコンピュータに実行させるプログラム
JP2003142485A (ja) * 2001-11-01 2003-05-16 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6717268B2 (en) * 2001-11-13 2004-04-06 Intel Corporation Electromigration-reliability improvement of dual damascene interconnects
TW517361B (en) * 2001-12-31 2003-01-11 Megic Corp Chip package structure and its manufacture process
JP3722367B2 (ja) * 2002-03-19 2005-11-30 ソニー株式会社 固体撮像素子の製造方法
FR2839581B1 (fr) * 2002-05-07 2005-07-01 St Microelectronics Sa Circuit electronique comprenant un condensateur et au moins un composant semiconducteur, et procede de conception d'un tel circuit
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US6787875B2 (en) * 2002-08-05 2004-09-07 Texas Instruments Incorporated Self-aligned vias in an integrated circuit structure
JP4005873B2 (ja) * 2002-08-15 2007-11-14 株式会社東芝 半導体装置
US6911389B2 (en) * 2002-09-18 2005-06-28 Texas Instruments Incorporated Self aligned vias in dual damascene interconnect, buried mask approach
KR100476694B1 (ko) * 2002-11-07 2005-03-17 삼성전자주식회사 반도체 장치의 퓨즈 구조물 및 그 제조 방법
JP3778445B2 (ja) * 2003-03-27 2006-05-24 富士通株式会社 半導体装置
JP3924550B2 (ja) * 2003-05-22 2007-06-06 Necエレクトロニクス株式会社 半導体装置及びレイアウト装置及び方法並びにプログラム
JP4356542B2 (ja) * 2003-08-27 2009-11-04 日本電気株式会社 半導体装置
US20050161820A1 (en) * 2004-01-27 2005-07-28 Ravindraraj Ramaraju Integrated circuit with conductive grid for power distribution
JP4200926B2 (ja) * 2004-03-10 2008-12-24 ソニー株式会社 半導体集積回路
KR100624906B1 (ko) * 2004-06-25 2006-09-19 매그나칩 반도체 유한회사 반도체 소자의 병렬 커패시터
KR100615577B1 (ko) * 2004-09-10 2006-08-25 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 신호 라인 배치 방법
KR100665837B1 (ko) * 2004-11-18 2007-01-09 삼성전자주식회사 반도체 메모리 장치에서의 라인 배치 구조
US20060108667A1 (en) * 2004-11-22 2006-05-25 Macronix International Co., Ltd. Method for manufacturing a small pin on integrated circuits or other devices
US7709334B2 (en) * 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
JP4434965B2 (ja) * 2005-01-11 2010-03-17 株式会社東芝 半導体装置
US7247552B2 (en) * 2005-01-11 2007-07-24 Freescale Semiconductor, Inc. Integrated circuit having structural support for a flip-chip interconnect pad and method therefor
JP2006228910A (ja) * 2005-02-16 2006-08-31 Matsushita Electric Ind Co Ltd 半導体装置
JP4498181B2 (ja) * 2005-03-22 2010-07-07 東京エレクトロン株式会社 スイッチアレイ
US7534647B2 (en) * 2005-06-17 2009-05-19 Macronix International Co., Ltd. Damascene phase change RAM and manufacturing method
US7482675B2 (en) * 2005-06-24 2009-01-27 International Business Machines Corporation Probing pads in kerf area for wafer testing
US7472366B1 (en) * 2005-08-01 2008-12-30 Cadence Design Systems, Inc. Method and apparatus for performing a path search
JP4671814B2 (ja) * 2005-09-02 2011-04-20 パナソニック株式会社 半導体装置
JP2007081401A (ja) * 2005-09-12 2007-03-29 Magnachip Semiconductor Ltd 光干渉を減少させたイメージセンサ
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
JP5168142B2 (ja) * 2006-05-17 2013-03-21 日本電気株式会社 半導体装置
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
US7586175B2 (en) * 2006-10-23 2009-09-08 Samsung Electronics Co., Ltd. Semiconductor wafer having embedded electroplating current paths to provide uniform plating over wafer surface
US8178905B2 (en) * 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
JP5111878B2 (ja) * 2007-01-31 2013-01-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7483292B2 (en) * 2007-02-07 2009-01-27 Macronix International Co., Ltd. Memory cell with separate read and program paths
JP2008205122A (ja) * 2007-02-19 2008-09-04 Nec Electronics Corp 半導体装置およびその製造方法
US7818698B2 (en) * 2007-06-29 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Accurate parasitic capacitance extraction for ultra large scale integrated circuits
KR101318946B1 (ko) * 2007-08-09 2013-10-17 삼성전자주식회사 테스트 장치, 스태틱 메모리 테스트 장치 및 반도체 집적회로 장치
JP2009141064A (ja) * 2007-12-05 2009-06-25 Renesas Technology Corp 半導体装置
US8198188B1 (en) * 2008-01-28 2012-06-12 Cadence Design Systems, Inc. Self-aligned VIAS for semiconductor devices
JP5130946B2 (ja) * 2008-02-15 2013-01-30 ソニー株式会社 固体撮像装置、カメラ及び電子機器
JP5334459B2 (ja) * 2008-05-30 2013-11-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR100986845B1 (ko) * 2008-08-14 2010-10-08 삼성모바일디스플레이주식회사 유기전계발광 표시장치의 배선수리구조 및 그 수리방법
US20100090308A1 (en) * 2008-10-10 2010-04-15 Charu Sardana Metal-oxide-metal capacitors with bar vias
KR101470530B1 (ko) * 2008-10-24 2014-12-08 삼성전자주식회사 일체화된 가드 링 패턴과 공정 모니터링 패턴을 포함하는 반도체 웨이퍼 및 반도체 소자
JP2010177276A (ja) * 2009-01-27 2010-08-12 Elpida Memory Inc 半導体装置及びその製造方法
GB2479696B (en) * 2009-02-06 2013-01-30 Zevex Inc Air bubble detector
US8431474B2 (en) * 2009-09-25 2013-04-30 Hewlett-Packard Development Company, L.P. Three dimensional multilayer circuit
KR101778513B1 (ko) * 2009-10-09 2017-09-15 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광 표시 장치 및 이를 포함한 전자 기기
CN102576174B (zh) * 2009-10-09 2018-02-23 株式会社半导体能源研究所 液晶显示装置及包括该液晶显示装置的电子设备
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
JP2011165966A (ja) * 2010-02-10 2011-08-25 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8729521B2 (en) * 2010-05-12 2014-05-20 Macronix International Co., Ltd. Self aligned fin-type programmable memory cell
US8283713B2 (en) * 2010-06-02 2012-10-09 Lsi Corporation Logic-based eDRAM using local interconnects to reduce impact of extension contact parasitics
US8232198B2 (en) * 2010-08-05 2012-07-31 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
US8975978B2 (en) * 2010-08-30 2015-03-10 Nec Corporation Interconnect substrate and electronic device
US20120057270A1 (en) * 2010-09-06 2012-03-08 Juergen Foerster Capacitor and method for making same
US8299625B2 (en) * 2010-10-07 2012-10-30 International Business Machines Corporation Borderless interconnect line structure self-aligned to upper and lower level contact vias
FR2966268B1 (fr) * 2010-10-18 2013-08-16 St Microelectronics Rousset Procédé comprenant une détection d'une remise en boitier d'un circuit intégré après une mise en boitier initiale, et circuit intégré correspondant.
US8679914B2 (en) * 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120047596A (ko) * 2010-11-04 2012-05-14 삼성전자주식회사 반도체 소자의 배선 구조
JP6066542B2 (ja) * 2010-11-18 2017-01-25 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置
WO2012137392A1 (ja) * 2011-04-06 2012-10-11 パナソニック株式会社 半導体集積回路装置
DE102011100779B4 (de) * 2011-05-06 2022-10-06 Texas Instruments Deutschland Gmbh Elektronische Vorrichtung und Verfahren zur Herstellung einer elektronischen Vorrichtung
US8582352B2 (en) * 2011-12-06 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for FinFET SRAM cells
US9685404B2 (en) * 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse
US8835319B2 (en) * 2012-03-02 2014-09-16 Infineon Technologies Ag Protection layers for conductive pads and methods of formation thereof
US9627310B2 (en) * 2012-04-11 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned interconnects
US9478505B2 (en) * 2012-04-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Guard ring design structure for semiconductor devices
US8779592B2 (en) * 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US9105637B2 (en) * 2012-05-18 2015-08-11 International Business Machines Corporation Anti-fuse structure and fabrication
JP2014022561A (ja) * 2012-07-18 2014-02-03 Sony Corp 固体撮像装置、及び、電子機器
US8932474B1 (en) * 2013-03-05 2015-01-13 Eastman Kodak Company Imprinted multi-layer micro structure method
US20150085456A1 (en) * 2013-03-05 2015-03-26 Ronald Steven Cok Imprinted multi-level micro-wire circuit structure
US9972624B2 (en) * 2013-08-23 2018-05-15 Qualcomm Incorporated Layout construction for addressing electromigration
KR102094477B1 (ko) * 2013-10-11 2020-04-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP5880529B2 (ja) * 2013-11-29 2016-03-09 株式会社村田製作所 弾性表面波フィルタ
US9553028B2 (en) * 2014-03-19 2017-01-24 Globalfoundries Inc. Methods of forming reduced resistance local interconnect structures and the resulting devices
KR102310122B1 (ko) * 2014-06-10 2021-10-08 삼성전자주식회사 논리 셀 및 이를 포함하는 집적회로 소자와 논리 셀의 제조 방법 및 집적회로 소자의 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020111012A1 (en) * 1996-06-11 2002-08-15 International Business Machines Corporation Partially-overlapped interconnect structure and method of making
US20030001270A1 (en) * 1999-07-12 2003-01-02 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved multi-layer interconnection structure and manufacturing method thereof
US20040164419A1 (en) * 2000-05-31 2004-08-26 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US20110215469A1 (en) * 2005-07-22 2011-09-08 Megica Corporation Method for forming a double embossing structure
US20090166868A1 (en) * 2007-01-29 2009-07-02 Samsung Electronics, Co., Ltd. Semiconductor devices including metal interconnections and methods of fabricating the same
KR20110075354A (ko) * 2009-12-28 2011-07-06 삼성전기주식회사 웨이퍼 레벨 패키지 및 그 제조방법

Also Published As

Publication number Publication date
US20130292836A1 (en) 2013-11-07
TW201347193A (zh) 2013-11-16
US9716032B2 (en) 2017-07-25
CN103383937A (zh) 2013-11-06
US20140322910A1 (en) 2014-10-30
CN103383937B (zh) 2016-05-04
KR101412292B1 (ko) 2014-06-25
US8779592B2 (en) 2014-07-15
KR20130122894A (ko) 2013-11-11

Similar Documents

Publication Publication Date Title
TWI508295B (zh) 半導體裝置與製造一半導體裝置的方法
US10096544B2 (en) Semiconductor interconnect structure
CN106601664B (zh) 形成有选择性沉积蚀刻停止层的自对准通孔的方法和装置
US8907497B2 (en) Semiconductor device with self-aligned interconnects and blocking portions
US10515896B2 (en) Interconnect structure for semiconductor device and methods of fabrication thereof
TWI525746B (zh) 多層元件及其製作方法
TW201535657A (zh) 半導體裝置結構及其形成方法
TW201826419A (zh) 半導體裝置及製造半導體裝置之方法
TW202006885A (zh) 半導體裝置及其製造方法
US10276684B2 (en) Conductive spline for metal gates
TWI779638B (zh) 積體電路結構及其製備方法
TW202249226A (zh) 具有互連部的半導體元件及其製備方法
US10522396B1 (en) Methods of fabricating integrated circuit devices having reduced line end spaces
US11699589B2 (en) Method for forming patterned mask layer
TWI722698B (zh) 導電通孔的製備方法
US20240213252A1 (en) Vtfet circuit with optimized mol
TW202301477A (zh) 具有互連部的半導體元件及其製備方法