TWI446486B - 在介電質層中產生氣隙以減少rc延遲之方法與設備 - Google Patents

在介電質層中產生氣隙以減少rc延遲之方法與設備 Download PDF

Info

Publication number
TWI446486B
TWI446486B TW097138752A TW97138752A TWI446486B TW I446486 B TWI446486 B TW I446486B TW 097138752 A TW097138752 A TW 097138752A TW 97138752 A TW97138752 A TW 97138752A TW I446486 B TWI446486 B TW I446486B
Authority
TW
Taiwan
Prior art keywords
dielectric
dielectric layer
layer
depositing
air gap
Prior art date
Application number
TW097138752A
Other languages
English (en)
Other versions
TW200929438A (en
Inventor
Amir Al-Bayati
Alexandros T Demos
Kang Sub Yim
Mehul Naik
Zhenjiang David Cui
Mihaela Balseanu
Meiyee Maggie Le Shek
Li-Qun Xia
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200929438A publication Critical patent/TW200929438A/zh
Application granted granted Critical
Publication of TWI446486B publication Critical patent/TWI446486B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

在介電質層中產生氣隙以減少RC延遲之方法與設備
本發明的實施例一般涉及積體電路的製造。更具體地,本發明的實施例係涉及用於形成包括具有低介電常數之介電材料的多層互聯結構的方法。
自從幾十年前首次提出積體電路以來,積體電路幾何結構在尺寸上已經急劇降低。此後,積體電路遵循了兩年/一半尺寸的規則(通常稱作摩爾定律,Moore’s Law),這意味著晶片上的元件數量每兩年增加一倍。現在的製造設備是具有0.1μm特徵尺寸的常規製造裝置,而未來的設備馬上將是具有甚至更小特徵尺寸的製造裝置。
由於相鄰金屬線之間的電容耦合必須被降低以進一步降低積體電路上元件的尺寸,因此元件幾何尺寸的不斷降低已經對具有低介電常數(k)值的膜產生需求。例如,互補型場效應電晶體(CMOS,complementary field-effect transistor)元件的縮放(scaling)需要後段製程(BEOL,Back-End-Of-the-Line)互聯中電阻電容(RC,resistive capacitive)延遲的持續降低。為了滿足該需求,用在BEOL中的絕緣層的介電常數必須進一步降低。
在過去的10-15年裏,半導體產業在降低絕緣層介電常數方面經歷了多個周期,從使用具有k=4.2的純二氧化矽(SiO2 )到今天的多孔碳摻雜的氧化矽膜,其包括矽、碳、氧和氫(通常稱作SiCOH),k=2.4。常規技術通常使用兩種方法降低k值:(1)添加碳到SiO2 矩陣中,以及(2)增加孔隙率。但是這些降低k值的方法導致與SiO2 相比較低的機械特性,這些低機械特性諸如低模量(modulus)和低硬度使得在雙鑲嵌流程中難以將這種膜與金屬線例如銅線結合到一起,雙鑲嵌通常用在形成BEOL互聯中。此外,未來技術(32nm節點和以下)將需要在SiCOH膜中更高的孔隙率。但是,具有高孔隙率之損失機械特性將意味著對於這種類型膜的k下限為~2.0。
因此考慮到積體電路特徵尺寸的持續降低和常規方法中現存的問題,對於形成具有低於2.0介電常數的介電層的方法仍存在需求。
本發明主要提供一種用於在互聯材料中於導線周圍之介電層中形成氣隙的方法。
一個實施例提供了一種用於形成半導體結構的方法,包括在基板上沈積第一介電層;在第一介電層中形成溝槽;用導電材料填充溝槽;平坦化導電材料以暴露出第一介電層;在導電材料和暴露出的第一介電層上沈積介電阻擋膜;在介電阻擋膜上方沈積硬遮罩層;在介電阻擋膜和硬遮罩層中形成圖案,以暴露出基板的所選區域;氧化在基板所選區域中第一介電層的至少一部分;去除第一介電層的氧化部分,以在導電材料周圍形成倒轉溝槽;以及在倒轉溝槽中沈積第二介電材料的同時,於倒轉溝槽中形成氣隙。
在另一實施例中,多孔介電材料用於形成溝槽,電子束處理用於氧化多孔介電材料。
另一實施例提供了一種用於形成具有氣隙之介電結構的方法,包括:在基板上沈積第一介電層;在第一介電層上沈積第二介電層;在第一和第二介電層中形成溝槽通孔結構,其中通孔形成在第一介電層中,而溝槽形成在第二介電層中;用導電材料填充溝槽通孔結構;平坦化導電材料以暴露出第二介電層;在導電材料和暴露出的第二介電層上沈積介電阻擋膜;在介電阻擋膜和硬遮罩層中形成圖案,以暴露出基板的所選區域;去除在基板所選區域中的第二介電層,以在填充於溝槽中的導電材料周圍形成倒轉溝槽;以及在倒轉溝槽中沈積介電材料的同時在倒轉溝槽中形成氣隙。
本發明的實施例提供了在導線之間形成氣隙的方法以降低介電常數k,並降低BEOL互聯中的RC延遲。
本發明的實施例提供了在製造互連期間在溝槽平面形成氣隙的方法。該方法包括在多孔低k介電材料中形成導線,然後去除部分多孔低k介電材料以在導線周圍產生溝槽,以及在導線周圍的溝槽中形成氣隙,同時在其中沈積不均勻的介電材料。根據在介電材料中的氣隙部分,介電材料的介電常數可降低約25%至約50%。本發明的方法可擴展多孔低k介電材料的應用以製造具有22nm和更小臨界尺寸的元件。由於形成氣隙的步驟容易結合到鑲嵌製程的流程中,因此該方法可用於任一溝槽層且可經濟地實施。
第1圖是根據本發明一個實施例示出在互聯中形成氣隙的方法100的流程圖。BEOL互聯通常包括多層互聯結構,典型地包括導電材料和電介質之交替的溝槽層和通孔層。溝槽層通常是指具有導線形成於其中的介電膜。通孔層是具有小金屬通孔的介電層,該金屬通孔提供從一個溝槽層到另一個溝槽層的電路徑。該方法100可用在任一層互聯中。
在方法100的步驟110中,在低k多孔介電材料中形成具有金屬結構的溝槽層。溝槽層可通過自身形成,例如形成在於半導體基板中形成的元件的接觸層上方。在其他情況下,溝槽層可使用任何合適的處理順序與通孔層一起形成,例如通常使用的鑲嵌製程。溝槽層通常由低k介電基質形成,其對於隨後的氣隙形成是可去除的。在一個實施例中,通孔層也形成在低k介電層中,如第2A圖中示出的處理順序110中所示。在另一實施例中,通孔層形成在不同的介電材料中,如第2B圖中示出的處理順序110b所示的。
在形成溝槽層之後,所選部分低k多孔介電層被去除以使倒轉溝槽形成在溝槽層中的金屬結構周圍,如步驟130中所示。在一個實施例中,多孔低k介電材料可通過氧化受控制厚度的低k介電層之後進行濕蝕刻步驟去除,如第3A圖的處理順序130a中所示。在另一實施例中,當溝槽層和下方的通孔層形成在不同介電材料中時,在介電層中低k多孔材料的所選區域可通過遮蔽(masked)的蝕刻處理去除,如第3B圖中所示的處理順序130b所示。
在去除溝槽層中的所選部分多孔低k介電材料之後,氣隙可通過沈積介電材料的非共形層形成在倒轉溝槽中,如第1圖的步驟150所示。在一個實施例中,氣隙可通過沈積介電阻擋層的非共形層形成,如第4A圖的處理順序150a中所示的。在另一實施例中,可在用層間介電材料填充倒轉溝槽的同時形成氣隙,如第4B圖中的處理順序150b中所示。
一旦形成了氣隙,就完成了溝槽層的製造,可沈積新一層的低k多孔介電材料且在溝槽層上直接或間接固化,如第1圖的步驟170中所示。
在步驟180中,具有金屬結構的新溝槽通孔層可形成在新一層的低k介電材料之中。如果需要的話可使用步驟130和150將氣隙形成在新的低k多孔介電材料中。
可使用方法100將氣隙形成在介電層中。對於步驟110、130、150使用不同處理順序的組合可得到不同實施例。以下描述四個示範性實施例。
實施例1
第5A-5G圖示意性示出了根據本發明一個實施例的具有氣隙的基板疊層200a的形成。基板疊層200a使用第2A圖的處理順序110a、續以第3A圖的處理順序130a、接著以第4A圖的處理順序150a之順序形成。
參考第5A圖,通孔層202和溝槽層203形成在預先存在層201上,層201包括導線210。第2A圖示出了可用於形成所示的通孔層202和溝槽層203的步驟110。
在處理順序110a的步驟111中,介電阻擋膜211整個沈積在預先存在層201的上方。介電阻擋膜211配置為防止導電材料例如導線210的金屬材料擴散到隨後的介電層中。介電阻擋膜211一般包括阻擋介電材料諸如氮化矽、碳氧化矽、非晶的氫化碳化矽或氮摻雜的碳化矽(BLOkTM )。
在步驟112中,多孔低k介電材料212形成在介電阻擋膜211上方。多孔低k介電材料212具有足以形成通孔層202和溝槽層203的厚度。形成多孔低k介電材料212通常包括沈積還含有不穩定的有機基團的含矽/氧材料,以及固化含矽/氧材料以形成均勻分散在層中的微觀氣囊(gas pocket)。固化多孔低k材料212t可包括電子束(e-束)處理、紫外線(UV)處理、熱退火處理(在不存在電子束和/或UV處理的情況下)及其組合。
多孔低k介電材料212通常具有低於2.5的介電常數。形成多孔低k介電材料212的示範性方法的詳細描述可在美國專利申請公開案No.2005/0233591中找到,其標題為“促進多孔低k膜與下方的阻擋層的黏著性的技術(Techniques Promoting Adhesion of Porous Low K Film to Underlying Barrier Layer)”,在此引入其內容作為參考。
在步驟113中,溝槽通孔結構形成在多孔低k介電材料212中。溝槽通孔結構包括在通孔204上方形成的溝槽205且可使用鑲嵌方法形成。在一個介電層中形成溝槽通孔結構的示範性方法可在美國專利申請案No.6,753,258中找到,其標題為“用於雙鑲嵌結構的集成方案(Integration Scheme for Dual Damascene Structure)”,在此引入其內容作為參考。
在步驟114中,金屬擴散阻擋層213在溝槽通孔結構表面上形成為襯墊。金屬擴散阻擋層213配置為防止隨後沈積在溝槽中的金屬線和附近的介電結構之間的擴散。金屬擴散阻擋層213可包括鉭(Ta)和/或氮化鉭(TaN)。
在步驟115中,溝槽通孔結構填充有包括一種或多種金屬的導線214。在一個實施例中,可進行濺鍍步驟以從溝槽通孔結構的整個或部分底壁去除金屬擴散阻擋層213,以使導線214與預先存在層201的導線210直接接觸。沈積導線214可包括形成導電種晶層和在導電種晶層上沈積金屬。導線214可包括銅(Cu)、鋁(Al)或具有所需導電性的任何合適的材料。
在步驟116中,在導線214和金屬擴散阻擋層213上進行化學機械拋光(CMP)製程以使多孔低k介電材料212暴露在基板疊層200a的頂面215上,如第5A圖中所示。
一旦形成通孔層202和溝槽層203,在溝槽層203中的部分多孔低k介電材料212可去除以使氣隙形成在導線214之間。
在第3A圖中示出的處理順序130a可用於去除多孔低k介電層212。
在步驟131中,緻密介電阻擋膜216沈積在頂面215上方,如第5B圖中所示。緻密介電阻擋膜216配置為在後續製程中防止導線214中的金屬諸如銅擴散到導線214中或者濕蝕刻化學試劑遷移到導線214中。緻密介電阻擋層216可包括薄的低k介電阻擋膜,諸如碳化矽(SiC)、氮碳化矽(SiCN)、氮化硼(BN)、硼氮化矽(SiBN)、硼碳氮化矽(SiBCN)或其組合。
在步驟133中,硬遮罩層217沈積在緻密介電阻擋膜216上方,如第5B圖中所示。硬遮罩層217配置為在熱處理中提供基板疊層的圖案化。硬遮罩層217可包括矽氧化物。
在步驟135中,圖案219利用光阻218形成在硬遮罩層217和緻密的介電阻擋層216中,如第5B圖中所示。圖案219僅暴露出需要氣隙的部分基板。希望在通過導線緊密包封的區域中形成氣隙。在一個實施例中,氣隙可形成在相鄰導線214之間的距離在約100nm至約200nm之間的區域中。
在步驟137中,對由硬遮罩層217暴露的低k介電材料212進行氧化處理,如第5C圖中所示。在一個實施例中,通過在惰性氣體和/或氧氣環境中使用電子束(E束)將能量分配到多孔低k介電材料212中,進行氧化處理。E束處理過的多孔介電材料220具有增加的濕蝕刻速率且可選擇性去除。實驗已經示出根據本發明實施例的E束處理可增加低k多孔介電材料212的濕蝕刻速率(wet etching rate,WER)約100倍。例如,在UV固化之後(這在電介質中形成了奈米尺寸的氣泡)在100:1稀釋的氫氟酸(DHF)溶液中,多孔低k介電材料的蝕刻速率為約0.219/分鐘。E束處理之後在100:1稀釋的氫氟酸(DHF)溶液中,相同材料具有的蝕刻速率為約30/分鐘。因此,將所選部分暴露到E束處理之後多孔低k介電材料212可使用濕蝕刻處理選擇性去除。
E束處理裝置通常包括真空室、大面積陰極、待處理的位於無場區中的靶或基板、以及設置在靶和陰極之間的陽極,其與陰極的距離小於自其射出之電子的平均自由徑長度。E束裝置還包括連接到陰極的高壓電源和連接到陽極的低壓電源。
在處理期間,在陰極和靶之間的間隙中的氣體可離子化以啟動電子發射。這係因自然產生的伽馬射線而發生,或者通過高壓火花隙(spark gap)替代地由人工啟動室內的發射。一旦發生這種最初的離子化,則正離子就通過施加到陽極的小量負電壓吸引到陽極。這些正離子傳送到陰極和陽極之間的加速場區域中,且因施加到陰極的高壓而加速到陰極表面。一旦撞擊陰極表面,這些高能量離子就產生向回加速到陽極的次級電子。這些電子中的一些(幾乎垂直於陰極表面運行的那些)撞擊陽極,但是很多穿過了陽極且繼續前往靶,由此,對基板進行E束處理。對E束處理的裝置和方法的詳細描述可在美國專利No.6,936,551中找到,其標題為“用於製造積體電路元件之E束處理的方法和裝置(Method and Apparatus for E-beam Treatment Used to Fabricate Integrated Circuit Devices)”,在此引入其內容作為參考。E束處理可在EBkTM 電子束室中進行,其可從加州Santa Clara的應用材料公司獲得。
E束處理可在惰性環境中進行,諸如氬。在另一個實施例中,E束處理也可在氧氣環境中進行,例如在純氧或惰性氣體和氧氣混合物的環境中。
本發明的一個實施例包括控制E束處理過之多孔介電層220的深度。E束處理過之多孔介電層220的深度通過入射電子在被吸收之前穿透到介電層中的深度確定。該深度通常取決於很多因素(包括正被處理的特定材料)。其中最關鍵的一個是通過加速電壓所決定的電子束能量。本發明的一個實施例中,E束處理的深度可使用以下等式控制:
其中Depth 是單位為埃的處理深度,Vacc 是施加到陰極而單位為keV的電壓,a是常數,以及ρ是單位為gm/m3 之正處理的膜密度。在一個實施例中,對於具有介電常數k=2.35和密度ρ=1.08gm/m3 的多孔低k介電材料212,處理深度可使用a=1.08計算。
可選地,氧化製程可通過將所選區域暴露到惰性氣體和、或氧氣環境中而執行。
在可選步驟139中,自對準帽蓋層221形成在導線214上,如第5D圖中所示。自對準帽蓋層可利用無電鍍沈積形成且只形成在導線214暴露的表面上。自對準帽蓋層221可配置為阻擋層以保護導線214不受用在氣隙形成中之濕蝕刻化學試劑的影響,以及防止橫跨導線210上表面之各物種(species)的擴散。自對準帽蓋層221可防止銅和氧的擴散。由於導線214包括銅,自對準帽蓋層221可包括含有鈷(Co)、鎢(W)、或鉬(Mo)、磷(P)、硼(B)、錸(Re)及其組合的各種成分。形成自對準帽蓋層221的詳細描述可在美國專利公開案No.2007/0099417標題為“與低k層間金屬電介質和蝕刻終止層結合使用的在無電鍍Co合金膜上粘附和最小化氧化(Adhesion and Minimizing Oxidation on Electroless Co Alloy Films for Integration with Low k Inter-Metal Dielectric and Etch Stop)”中找到,在此引入其內容作為參考。
在步驟141中,E束處理過的多孔介電層220和硬遮罩層217使用濕蝕刻化學試劑去除,如第5E圖中所示。濕蝕刻化學試劑可以是DHF溶液。也可使用其他的濕蝕刻化學試劑諸如緩衝氫氟酸(BHF,NH4 F+HF+H2 O)。示範性蝕刻方法可在美國專利No.6,936,183標題為“蝕刻微結構的蝕刻製程(Etch Process for Etching Microstructures)”中找到,在此引入其內容作為參考。在去除E束處理過的多孔介電層220之後在導線214之間形成倒轉溝槽222。
用於固化和蝕刻的實例
銅導線形成在氮摻雜的二氧化矽層中。銅導線沈積在深度為約257nm的溝槽中。相鄰導線之間的距離為約88nm。在CMP和遮罩之後,氮摻雜的二氧化矽層通過150劑量的電子束固化。在電子束固化期間,以約50sccm的流速將氬流入到處理室中。用水/HF比率為100:1的稀釋HF的蝕刻溶液處理固化的結構。1分鐘濕蝕刻之後蝕刻深度為約150nm,在2分鐘濕蝕刻之後為約180nm,以及3分鐘濕蝕刻之後為約190nm。
在形成倒轉溝槽222之後,可在倒轉溝槽222中填充一種或多種具有氣隙的介電材料。第4A圖中示出的處理順序150a可用於填充倒轉溝槽222以及形成氣隙。
在步驟151中,倒轉溝槽222填充有介電阻擋層223。在沈積介電阻擋層223期間均勻形成氣隙224且將氣隙密封在倒轉溝槽222中。由於沈積製程的非共形性導致氣隙224形成在倒轉溝槽222中,其中與倒轉溝槽222入口附近的沈積速率相比,在側壁上的沈積速率相對較慢,以在倒轉溝槽222被填充且於其中形成氣隙224之前“夾斷(pinching off)”入口。
在一個實施例中,介電阻擋層223與介電阻擋層216相同或相似。在夾斷之前介電阻擋層223一般覆蓋倒轉溝槽222的側壁,以提供抵抗導線214擴散的阻擋層。
介電阻擋層223可使用PECVD形成。介電阻擋層223的沈積製程受到控制,以便在夾斷之前覆蓋倒轉溝槽222的底部和側壁,且在高度方向上氣隙均勻分佈,以使隨後的CMP處理不會破壞氣隙224。在一個實施例中,製程可通過調整室壓力和/或在電漿產生時的偏置功率控制。在另一個實施例中,可通過調整倒轉溝槽的形狀和/或高寬比來調整製程以控制氣隙224的位置。
介電阻擋層223可包括緻密低k(k=5)的阻擋介電層。在介電阻擋層223中存在氣隙224降低了導線214之間介電材料的有效介電常數,因而降低了導線214間的電容。第10圖示意性示出了具有有效介電常數的氣隙部分和具有k=5.1之阻擋介電層的電容減低比率的關係。其示出了,通過在導線214之間的介電阻擋層223中引入約38%的氣隙,有效介電常數被降低至2且電容降低約58%。
在步驟153中,對介電阻擋層223進行CMP處理以去除多餘材料和實現平坦頂表面225,用於隨後的溝槽和通孔層,如第5F圖中所示。在一個實施例中,介電阻擋層223可被平坦化以在溝槽層203頂表面215上方具有所需厚度,以使介電阻擋層223提供用於隨後層間介電層的阻擋層,以抵抗在溝槽層203中的導線214。在一個實施例中,在侵入到氣隙224之前就終止平坦化。為了避免增加基板疊層的厚度,希望控制氣隙224的高度。
參考第5G圖,新的層間介電層226例如新的多孔低k介電層沈積在介電阻擋層223的頂面225上,如第1圖的步驟170中所述。通孔層227和溝槽層228順序形成在新的層間介電層226中。溝槽230和通孔229此時填充有導電材料。如果需要的話在溝槽層228上進行一新的氣隙形成周期。
應當注意,使用本發明的方法產生的氣隙沒有未接地通孔(unlanded via)的問題,如第5G圖中所示。通孔229不完全位於溝槽層203導線214上。部分通孔229與多孔低k介電材料212接觸。但是,由於氣隙僅形成在所選區域中,因此在通孔229的未接地(unlanded)部分和氣隙224之間的接觸是可避免的。
實施例2
第6A-6C圖示意性示出了根據本發明一個實施例形成具有氣隙的基板疊層200b。基板疊層200b使用第2A圖的處理順序110a形成,之後是第3A圖的處理順序130a,之後是第4B圖的處理順序150b。基板疊層200b的處理順序與形成氣隙之前基板疊層200a的處理順序類似且於第5A-5D圖中示出。
在形成倒轉的溝槽222之後,在倒轉溝槽222中填充一種或多種具有氣隙的介電材料。於第4B圖中示出的處理順序150b可用於填充倒轉溝槽222和形成氣隙。
在步驟155中,倒轉溝槽222以介電阻擋材料240的薄層作為襯墊,如第6A圖中所示。在一個實施例中,介電阻擋材料240與介電阻擋層216相同或相似。介電阻擋材料240通常覆蓋倒轉溝槽222的側壁,以提供抵抗導線214之擴散的阻擋層,以用於後續的介電材料。
在步驟157中,倒轉溝槽222填充有層間介電材料241,如第6B圖中所示。在沈積層間介電材料241期間在倒轉溝槽222中均勻形成且密封氣隙242。由於非共形沈積製程,氣隙242形成在倒轉溝槽222中,其中與倒轉溝槽222入口附近的沈積速率相比,側壁上的沈積速率相對較低,以在倒轉溝槽222被填充且在其中形成氣隙242之前夾斷入口。
層間介電層241可使用PECVD沈積。控制層間介電層241的沈積過程,以使氣隙由倒轉溝槽222入口附近的夾斷作用形成。在一個實施例中,氣隙242在高度方向上是均勻的以使隨後的CMP處理不破壞氣隙242。在一個實施例中,製程可通過調整在電漿產生中的室壓和/或偏置功率控制。在另一實施例中,製程可通過調整倒轉溝槽的形狀和/或高寬比調整以控制氣隙242的位置。形成層間介電層242的詳細描述可在美國專利No.6,054,379中找到,其標題為“沈積具有有機矽烷的低k電介質的方法(Method of Depositing a Low K Dielectric with Organo Silane)”,在此引入其內容作為參考。
層間介電材料241可包括低k(k=2.5)的介電材料。在層間介電層241中存在氣隙242降低了在導線214之間介電材料的有效介電常數,進而降低了導線214之間的電容。第11圖示意性示出了具有有效介電常數的氣隙部分和具有k=2.5之層間介電層的電容減低比率的關係。其示出了,通過在導線214之間的層間介電層241中引入約17%的氣隙,有效介電常數被降低至2且電容降低約20%。
在步驟159中,在層間介電層241上進行CMP以去除多餘的材料和獲得用於隨後製程的平坦頂表面243,如第6B圖中所示。在一個實施例中,可平坦化層間介電層241以在溝槽層203之頂表面215上方具有所需厚度,從而在層間介電層241中形成隨後的通孔層。在一個實施例中,在侵入到氣隙242中之前終止平坦化。為了避免增加極板疊層的厚度,希望控制氣隙242的高度。該實施例中,由於層間介電層214具有通孔層的厚度公差(thickness allowance),因此氣隙242的頂部處於較溝槽層203之頂表面215高的位置。
參考第6C圖,新的多孔低k介電層246沈積在層間介電層241的頂表面243上。通孔層244形成在層間介電層241中和溝槽層245形成在新的多孔介電層246中。溝槽通孔結構此時可填充有導電材料。如果需要的話在溝槽層245上進行一新的氣隙形成週期。
實施例3
第7圖和第8A-8B圖示意性示出了根據本發明一個實施例形成具有氣隙的極板疊層200c。基板疊層200c使用第2B圖的處理順序110b形成,之後是第3A圖的處理順序130a,之後是第4B圖的處理順序150b。
參考第7圖,通孔層250和溝槽層251形成在預先存在層201上,其包括導線210。第2B圖示出了一個處理順序110b,其可用於形成如所示出的通孔層250和溝槽層251。
在處理順序110b的步驟120中,介電阻擋膜252沈積在預先存在層201的整個上方。介電阻擋膜252配置為防止導電材料諸如用於導線210的金屬擴散到隨後的介電層中。介電阻擋膜252通常包括阻擋介電材料諸如氮化矽、碳氧化矽(silicon oxycarbide)或非晶氫化的碳化矽(BLOkTM )。
在步驟121中,層間介電材料253沈積在介電阻擋膜252上方。層間介電材料253具有足以在其中形成通孔層250的厚度。層間介電材料253可包括碳摻雜的二氧化矽或氮摻雜的二氧化矽。用於形成層間介電層253的具體描述可在美國專利No.6,054,379中找到,其標題為“用於沈積具有有機矽烷的低k電介質的方法(Method of Depositing a Low K Dielectric with Organo Silane)”,在此通過參考將其並入本文。
在步驟122中,多孔低k介電材料254形成在層間介電層253上方。多孔低k介電材料254具有足以在其中形成溝槽層251的厚度。
在步驟123中,溝槽通孔結構形成在層間介電材料253和多孔低k介電材料254中。
在步驟124中,金屬擴散阻擋層255在溝槽通孔結構的表面上作為襯墊。金屬擴散阻擋層255係配置成用以防止後續沈積在溝槽中的金屬線和附近的介電結構之間的擴散。金屬擴散阻擋層255可包括鉭(Ta)和/或氮化鉭(TaN)。
在步驟125中,溝槽通孔結構填充有包括一種或多種金屬的導線256。
在步驟126中,在導線256、金屬阻擋層255上進行CMP處理,以使多孔低k介電層254暴露在頂表面257上,如第7圖中所示。
一旦形成通孔層250和溝槽層251,溝槽層251中的多孔低k介電層254部分就被去除,以便通過經由在介電阻擋層258和硬遮罩層259中形成的圖案使用E束處理,在導線256之間形成氣隙。在第3A圖中示出的處理順序130a可用於去除多孔低k介電層254,以形成如第8A圖中所示的倒轉溝槽260。
在形成倒轉溝槽260之後,就使用第4A圖中示出的處理順序150a或者第4B圖中示出的處理順序150b形成氣隙263。第8B圖示出了使用第4B圖中示出的處理順序150b形成的氣隙263。介電阻擋層261的薄層在倒轉溝槽260中作為襯墊。由於層間介電層262的沈積處理是非共形的,因此氣隙263形成在倒轉溝槽260中,其中在側壁上的沈積速率與倒轉溝槽260的入口附近的沈積速率相比相對較低,以在填充倒轉溝槽260之前“夾斷”入口。
實施例4
第7圖和第9A-9B圖示意性示出了根據本發明形成具有氣隙的極板疊層200d。
如第7圖中所示,通孔層250和溝槽層251使用第2B圖的處理順序110b形成。通孔層250基於層間介電層253上。溝槽層251基於多孔低k介電層254上。
由於層間介電層253和多孔低k介電層254的特性差異,層間介電層253用作蝕刻停止層,同時去除多孔低k介電層254以形成倒轉的溝槽270,如第9A圖中所示,如處理順序130b中的步驟143中描述的。倒轉溝槽270可使用遮蔽的乾蝕刻處理形成,以去除在所選區域中的任何多孔低k電介質254。
在形成倒轉溝槽270之後,氣隙272可使用第4A圖中示出的或處理順序150a或者第4B圖中示出的處理順序150b。第9B圖示出了由於層間介電層271的非共形沈積製程,導致的在倒轉溝槽270中形成的氣隙272,其中在側壁上的沈積速率與倒轉溝槽270入口附近的沈積速率相比相對較慢,從而在填充倒轉溝槽270之前“夾斷”入口。
在另一實施例中,氣隙可形成在具有傾斜側壁的溝槽中以利於形成氣隙。例如,可在形成氣隙的同時在入口窄於底部的溝槽中填充介電材料。涉及到在具有傾斜側壁的溝槽中形成氣隙之具體描述可在於2007年10月9日提交的美國專利申請序號No.--(代理卷號No.12054)中找到,其標題為“多層互聯結構中形成氣隙的方法(Method for Forming an Air Gap in Multilevel Interconnect Structures)”,在此引入其內容作為參考。
雖然前述內容直接涉及到本發明的實施例,但是還可設計出本發明其他的和進一步的不超出其基本範圍的實施例,且其範圍通過以下的申請專利範圍進行限定。
100...方法
110...步驟
110a...處理順序
110b...處理順序
111...步驟
112...步驟
113...步驟
114...步驟
115...步驟
116...步驟
120...步驟
121...步驟
122...步驟
123...步驟
124...步驟
125...步驟
126...步驟
130...步驟
130a...處理順序
130b...處理順序
131...步驟
133...步驟
135...步驟
137...步驟
139...步驟
141...步驟
150...步驟
150a...處理順序
150b...處理順序
151...步驟
153...步驟
155...步驟
157...步驟
159...步驟
170...步驟
180...步驟
200a...基板疊層
200b...基板疊層
200c...基板疊層
200d...基板疊層
201...預先存在層
202...通孔層
203...溝槽層
204...通孔
205...溝槽
210...導線
211...介電阻擋膜
212...多孔低k介電材料
212t...多孔低k材料
213...金屬擴散阻擋層
214...導線
215...頂面
216...緻密介電阻擋膜
217...硬遮罩層
218...光阻
219...圖案
220...E束處理過的多孔介電材料
221...自對準帽蓋層
222...倒轉溝槽
223...介電阻擋層
224...氣隙
225...頂表面
226...層間介電層
227...通孔層
228...溝槽層
229...通孔
230...溝槽
240...介電阻擋材料
241...層間介電材料
242...氣隙
243...頂表面
244...通孔層
245...溝槽層
246...多孔介電層
250...通孔層
251...溝槽層
252...介電阻擋膜
253...層間介電材料
254...多孔低k介電材料
255...金屬擴散阻擋層
256...導線
257...頂表面
258...介電阻擋層
259...硬遮罩層
260...倒轉溝槽
261...介電阻擋層
262...層間介電層
263...氣隙
270...倒轉溝槽
271...層間介電層
272...氣隙
因此,為了可以詳細理解本發明的以上所述特徵,下面將參照附圖中示出的實施例,對本發明的以上簡要敘述進行更具體的描述。然而,應注意附圖僅示出了本發明的典型實施例,且由於本發明可允許其他等效實施例,因此不應認為其限制了本發明的範圍。
第1圖是示出根據本發明一個實施例用於在互聯中形成氣隙的方法的流程圖;
第2A圖是示出根據本發明一個實施例用於形成溝槽通孔結構的處理順序的流程圖;
第2B圖是示出根據本發明另一個實施例用於形成溝槽通孔結構的處理順序的流程圖;
第3A圖是示出根據本發明一個實施例用於去除部分介電材料的處理順序的流程圖;
第3B圖是示出根據本發明另一實施例用於去除部分介電材料的處理順序的流程圖;
第4A圖是示出根據本發明一個實施例用於形成具有氣隙的介電層的處理順序的流程圖;
第4B圖是示出根據本發明另一實施例用於形成具有氣隙的介電層的處理順序的流程圖;
第5A-5G圖示意性示出了根據本發明一個實施例形成具有氣隙的基板疊層;
第6A-6C圖示意性示出了根據本發明另一實施例形成具有氣隙的基板疊層;
第7圖示意性示出了使用第2B圖的處理順序所形成的具有溝槽通孔結構的基板疊層。
第8A-8B圖示意性示出了根據本發明一個實施例具有氣隙的基板疊層的形成。
第9A-9B圖示意性示出了根據本發明一個實施例具有氣隙的基板疊層的形成。
第10圖示意性示出了具有有效介電常數的氣隙部分和k=5.1之阻擋介電層的電容減低比率之關係。
第11圖示意性示出了具有有效介電常數的氣隙部分和k=2.5之阻擋介電層的電容減低比率之關係。
為了便於理解,可能的情況下,已經使用相同圖示表示圖中共用的相同的元件。將預期在一個實施例中公開的元件可有利地用在其他實施例中而不需特別說明。
201...預先存在層
202...通孔層
203...溝槽層
204...通孔
210...導線
211...介電阻擋膜
212...多孔低k介電材料
213...金屬擴散阻擋層
214...導線
215...頂面
216...緻密介電阻擋膜
217...硬遮罩層
219...圖案
220...E束處理過的多孔介電材料

Claims (21)

  1. 一種形成一半導體結構的方法,包括:沈積一第一介電層於一基板上;形成數個溝槽於所述第一介電層中;用一導電材料填充該些溝槽;平坦化所述導電材料以暴露出所述第一介電層;沈積一介電阻擋膜於所述導電材料和暴露出的第一介電層上;沈積一硬遮罩層在所述介電阻擋膜上方;在所述介電阻擋膜和所述硬遮罩層中形成一圖案,以暴露出所述基板的所選區域;氧化在所述基板之所選區域中所述第一介電層的一部分厚度;去除所述第一介電層的氧化部分,以在所述第一介電層中於所述導電材料周圍形成數個倒轉溝槽;以及在該些倒轉溝槽中沈積一第二介電材料的同時,於該些倒轉溝槽中形成氣隙。
  2. 如申請專利範圍第1項所述的方法,其中所述第一介電層包括一多孔低k介電材料。
  3. 如申請專利範圍第2項所述的方法,其中沈積所述第一介電層包括: 沈積一具有不穩定有機基團的含矽/氧材料;以及固化所述含矽/氧材料,以形成數個均勻分佈在所述第一介電層中的微觀氣囊。
  4. 如申請專利範圍第1項所述的方法,更包括在沈積所述第二介電材料之前,用一介電阻擋層作為該些倒轉溝槽的襯墊。
  5. 如申請專利範圍第1項所述的方法,其中氧化所述第一介電層包括用電子束處理所述第一介電材料。
  6. 如申請專利範圍第5項所述的方法,其中用電子束處理所述第一介電材料包括控制處理深度。
  7. 如申請專利範圍第5項所述的方法,其中使用電子束處理所述第一介電材料包括調整一陰極電壓,以控制所述被處理的第一介電層之厚度。
  8. 如申請專利範圍第5項所述的方法,其中用電子束處理所述第一介電材料係在一包括氬或氧之至少一者的環境下進行。
  9. 如申請專利範圍第1項所述的方法,其中氧化所述第一介電層包括在惰性環境或氧氣環境之一者中,用紫外 線(UV)能量處理所述第一介電材料。
  10. 如申請專利範圍第1項所述的方法,其中所述第二介電材料包括一在該些倒轉溝槽中非共形沈積的介電阻擋材料,以便在所述介電阻擋材料中形成且密封所述氣隙。
  11. 如申請專利範圍第1項所述的方法,其中所述第二介電材料包括一在該些倒轉溝槽中非共形沈積的層間介電材料,以便在所述層間介電材料中形成並密封所述氣隙。
  12. 一種形成一具有氣隙之介電結構的方法,包括:沈積一多孔介電層於一基板上;形成數個溝槽於所述多孔介電層中;用一導電材料填充該些溝槽;平坦化所述導電材料,以暴露出所述多孔介電層;沈積一介電阻擋膜於所述導電材料和暴露出的多孔介電層上;沈積一硬遮罩層於所述介電阻擋膜上方;在所述介電阻擋膜和所述硬遮罩層中形成一圖案,以暴露出所述基板的所選區域;使用電子束處理所述基板,以氧化在所選區域中所述多孔介電層的一部分厚度; 去除所述多孔介電層的氧化部分,以在所述多孔介電層中於所述導電材料周圍形成數個倒轉溝槽;以及在該些倒轉溝槽中沈積一介電材料的同時,於該些倒轉溝槽中形成氣隙。
  13. 如申請專利範圍第12項所述的方法,其中使用電子束處理所述基板包括將所述多孔介電層氧化一所需厚度。
  14. 如申請專利範圍第13項所述的方法,其中所述所需厚度通過調整一施加到一電子束處理室之陰極的電壓進行控制。
  15. 如申請專利範圍第12項所述的方法,其中沈積所述多孔介電層包括:沈積一具有不穩定有機基團的含矽/氧材料;以及固化所述含矽/氧材料,以形成數個均勻分佈在所述第一介電層中的微觀氣囊。
  16. 如申請專利範圍第12項所述的方法,其中該些倒轉溝槽中的介電材料是一非共形沈積在該些倒轉溝槽中的介電阻擋材料,以便在所述介電阻擋材料中形成和密封所述氣隙。
  17. 如申請專利範圍第12項所述的方法,其中形成所述氣隙包括在該些倒轉溝槽中非共形地沈積所述介電材料,以便在所述介電阻擋材料內形成和密封所述氣隙。
  18. 一種形成一具有氣隙之介電結構的方法,包括:沈積一第一介電層於一基板上;沈積一第二介電層於所述第一介電層上;在所述第一和第二介電層中形成數個溝槽通孔結構,其中通孔係形成在所述第一介電層中,溝槽係形成在所述第二介電層中;用一導電材料填充該些溝槽通孔結構;平坦化所述導電材料,以暴露出所述第二介電層;沈積一介電阻擋膜於所述導電材料和暴露出的第二介電層上;在所述介電阻擋膜和所述硬遮罩層中形成一圖案,以暴露出所述基板的所選區域;去除所述基板之所選區域中的所述第二介電層的一部分厚度,以在所述第二介電層中於所述填充到該些溝槽中的導電材料周圍形成數個倒轉溝槽;以及在該些倒轉溝槽中沈積一介電材料的同時,於該些倒轉溝槽中形成氣隙。
  19. 如申請專利範圍第18項所述的方法,其中沈積所述第二介電層包括: 沈積一具有不穩定有機基團的含矽/氧的材料;以及固化所述含矽/氧的材料,以形成數個均勻分散在所述第一介電層中的微觀氣囊。
  20. 如申請專利範圍第18項所述的方法,其中去除所述第二介電層包括蝕刻由該圖案暴露出的所述第二介電層。
  21. 如申請專利範圍第20項所述的方法,其中所述第一介電層和第二介電層特性不同,以便在蝕刻所述第二介電層期間所述第一介電層用作一蝕刻停止層。
TW097138752A 2007-10-09 2008-10-08 在介電質層中產生氣隙以減少rc延遲之方法與設備 TWI446486B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/869,396 US7879683B2 (en) 2007-10-09 2007-10-09 Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay

Publications (2)

Publication Number Publication Date
TW200929438A TW200929438A (en) 2009-07-01
TWI446486B true TWI446486B (zh) 2014-07-21

Family

ID=40523630

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097138752A TWI446486B (zh) 2007-10-09 2008-10-08 在介電質層中產生氣隙以減少rc延遲之方法與設備

Country Status (5)

Country Link
US (2) US7879683B2 (zh)
JP (1) JP5501596B2 (zh)
KR (1) KR101019356B1 (zh)
CN (1) CN101431046B (zh)
TW (1) TWI446486B (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
DE102008026134A1 (de) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
JP5396065B2 (ja) * 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
KR20120025315A (ko) * 2010-09-07 2012-03-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8951911B2 (en) 2011-03-31 2015-02-10 Applied Materials, Inc. Process for damascene structure with reduced low-k damage
JP2012256785A (ja) * 2011-06-10 2012-12-27 Elpida Memory Inc 半導体装置及びその製造方法
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
CN103021929A (zh) * 2011-09-22 2013-04-03 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
CN103137550A (zh) * 2011-12-05 2013-06-05 中芯国际集成电路制造(上海)有限公司 在层间介质层自对准形成空隙的方法
CN104126220B (zh) 2011-12-20 2017-06-20 英特尔公司 保形低温密闭性电介质扩散屏障
US9123727B2 (en) * 2011-12-29 2015-09-01 Intel Corporation Airgap interconnect with hood layer and method of forming
KR101827893B1 (ko) 2012-02-22 2018-02-09 삼성전자주식회사 도전 라인 구조물 및 그 형성 방법
CN103367280B (zh) * 2012-03-26 2016-03-23 南亚科技股份有限公司 穿硅通孔结构及其制作方法
JP5932604B2 (ja) * 2012-10-24 2016-06-08 株式会社東芝 半導体装置及びその製造方法
CN102969273A (zh) * 2012-10-25 2013-03-13 上海集成电路研发中心有限公司 一种具有空气隙的铜大马士革互连结构的形成方法
CN103871961B (zh) * 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN104241249B (zh) * 2013-06-21 2017-03-22 中芯国际集成电路制造(上海)有限公司 硅通孔互连结构及其制作方法
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US10269634B2 (en) * 2013-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having voids and method of forming same
US9214429B2 (en) * 2013-12-05 2015-12-15 Stmicroelectronics, Inc. Trench interconnect having reduced fringe capacitance
US20150162277A1 (en) 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
KR102146705B1 (ko) * 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법
US9230911B2 (en) * 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103839884A (zh) * 2014-03-10 2014-06-04 上海华虹宏力半导体制造有限公司 半导体器件结构及其形成方法
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9370907B2 (en) * 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
US9385037B2 (en) * 2014-04-16 2016-07-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement comprising metal cap and dielectric layer defining air gap
US9679852B2 (en) * 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9583380B2 (en) * 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9502293B2 (en) 2014-11-18 2016-11-22 Globalfoundries Inc. Self-aligned via process flow
KR102272553B1 (ko) * 2015-01-19 2021-07-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10096485B2 (en) * 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
CN104795359A (zh) * 2015-04-13 2015-07-22 上海华力微电子有限公司 金属互连线间的介质层中形成空气隙的方法
KR20160122364A (ko) * 2015-04-14 2016-10-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102403741B1 (ko) * 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
KR102383116B1 (ko) 2015-08-27 2022-04-07 삼성디스플레이 주식회사 표시 장치 및 이를 포함하는 전자 기기
US10879165B2 (en) * 2015-10-16 2020-12-29 Sony Corporation Semiconductor device and method for manufacturing semiconductor device with low-permittivity layers
US9728447B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
KR102449199B1 (ko) 2015-12-14 2022-09-30 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102616823B1 (ko) * 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN112838070B (zh) * 2016-01-05 2023-09-26 联华电子股份有限公司 内连线结构、内连线布局结构及其制作方法
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9831174B1 (en) * 2016-05-31 2017-11-28 Globalfoundries Inc. Devices and methods of forming low resistivity noble metal interconnect
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
WO2018118288A1 (en) * 2016-12-22 2018-06-28 Applied Materials, Inc. Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material
US10727114B2 (en) * 2017-01-13 2020-07-28 International Business Machines Corporation Interconnect structure including airgaps and substractively etched metal lines
JP6685945B2 (ja) 2017-01-31 2020-04-22 キオクシア株式会社 半導体装置およびその製造方法
WO2018171399A1 (en) 2017-03-22 2018-09-27 The Hong Kong University Of Science And Technology Ic structure with air gaps and protective layer and method for manufacturing the same
TWI719316B (zh) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
US10937892B2 (en) 2018-09-11 2021-03-02 International Business Machines Corporation Nano multilayer carbon-rich low-k spacer
CN112582335B (zh) * 2019-09-29 2023-08-11 芯恩(青岛)集成电路有限公司 一种半导体器件及其制备方法
US11335638B2 (en) * 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278798B1 (en) * 1993-08-09 2001-08-21 Texas Instruments Incorporated Image object recognition system and method
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JPH11307633A (ja) 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US6005607A (en) * 1995-06-29 1999-12-21 Matsushita Electric Industrial Co., Ltd. Stereoscopic computer graphics image generating apparatus and stereoscopic TV apparatus
JP2773729B2 (ja) * 1996-02-29 1998-07-09 日本電気株式会社 半導体装置の製造方法
WO1998032169A1 (en) 1997-01-21 1998-07-23 The B.F. Goodrich Company Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6071805A (en) 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6214719B1 (en) * 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
FR2803092B1 (fr) * 1999-12-24 2002-11-29 St Microelectronics Sa Procede de realisation d'interconnexions metalliques isolees dans des circuits integres
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20030176055A1 (en) * 2000-07-24 2003-09-18 United Microelectronics Corp. Method and structure for reducing capacitance between interconnect lines
KR100668810B1 (ko) * 2000-08-02 2007-01-16 주식회사 하이닉스반도체 알씨 딜레이를 개선한 반도체소자의 금속배선방법
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6984577B1 (en) 2000-09-20 2006-01-10 Newport Fab, Llc Damascene interconnect structure and fabrication method having air gaps between metal lines and metal layers
JP4850332B2 (ja) * 2000-10-18 2012-01-11 東京エレクトロン株式会社 デュアルダマシン構造のエッチング方法
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US6936183B2 (en) 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6908829B2 (en) * 2002-03-11 2005-06-21 Intel Corporation Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
JP2004193431A (ja) * 2002-12-12 2004-07-08 Renesas Technology Corp 半導体装置およびその製造方法
JP4068868B2 (ja) * 2002-03-29 2008-03-26 株式会社ルネサステクノロジ 半導体装置の製造方法
AU2003233470A1 (en) 2002-04-02 2003-10-20 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
CN100372113C (zh) * 2002-11-15 2008-02-27 联华电子股份有限公司 一种具有空气间隔的集成电路结构及其制作方法
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6838354B2 (en) 2002-12-20 2005-01-04 Freescale Semiconductor, Inc. Method for forming a passivation layer for air gap formation
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP4052950B2 (ja) * 2003-01-17 2008-02-27 Necエレクトロニクス株式会社 半導体装置の製造方法
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7115517B2 (en) 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
KR20050008364A (ko) * 2003-07-15 2005-01-21 삼성전자주식회사 층간절연막 평탄화 방법
JP4427989B2 (ja) * 2003-07-22 2010-03-10 富士ゼロックス株式会社 微小構造体の製造方法
US6881668B2 (en) * 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
JP4864307B2 (ja) * 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
JP2005109343A (ja) 2003-10-01 2005-04-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
JP2005243695A (ja) * 2004-02-24 2005-09-08 Toshiba Corp 半導体装置の製造方法
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7387939B2 (en) * 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7094689B2 (en) 2004-07-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap interconnect structure and method thereof
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4410075B2 (ja) * 2004-09-28 2010-02-03 株式会社東芝 半導体装置およびその製造方法
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
DE102005020060B4 (de) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
JP4956919B2 (ja) * 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
TW200746355A (en) * 2005-07-12 2007-12-16 St Microelectronics Crolles 2 Integration control and reliability enhancement of interconnect air cavities
WO2007032563A1 (ja) * 2005-09-16 2007-03-22 Nec Corporation 配線構造並びに半導体装置及びその製造方法
US20070072412A1 (en) * 2005-09-27 2007-03-29 International Business Machines Corporation Preventing damage to interlevel dielectric
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
JP4918778B2 (ja) * 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20070134435A1 (en) 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
KR100707092B1 (ko) * 2005-12-29 2007-04-13 동부일렉트로닉스 주식회사 반도체 장치의 박막 및 금속 배선 형성 방법
KR100712813B1 (ko) * 2005-12-29 2007-04-30 동부일렉트로닉스 주식회사 반도체 장치의 제조 방법
JP2009530288A (ja) * 2006-03-16 2009-08-27 ノバルティス アクチエンゲゼルシャフト 特に黒色腫の処置のためのヘテロ環式有機化合物
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
CN101473434A (zh) * 2006-06-21 2009-07-01 Nxp股份有限公司 半导体器件和制造半导体器件的方法
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
WO2008091900A1 (en) 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films

Also Published As

Publication number Publication date
US20110104891A1 (en) 2011-05-05
JP2009094519A (ja) 2009-04-30
US20090093112A1 (en) 2009-04-09
KR20090036519A (ko) 2009-04-14
KR101019356B1 (ko) 2011-03-07
TW200929438A (en) 2009-07-01
CN101431046A (zh) 2009-05-13
JP5501596B2 (ja) 2014-05-21
US7879683B2 (en) 2011-02-01
CN101431046B (zh) 2011-03-30

Similar Documents

Publication Publication Date Title
TWI446486B (zh) 在介電質層中產生氣隙以減少rc延遲之方法與設備
US6174810B1 (en) Copper interconnect structure and method of formation
US7646077B2 (en) Methods and structure for forming copper barrier layers integral with semiconductor substrates structures
US7439185B2 (en) Method for fabricating semiconductor device and semiconductor device
US6284644B1 (en) IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US6794755B2 (en) Surface alteration of metal interconnect in integrated circuits for electromigration and adhesion improvement
US20100301486A1 (en) High-aspect ratio contact element with superior shape in a semiconductor device for improving liner deposition
US11488857B2 (en) Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
JP2009194072A (ja) 半導体装置の製造方法
US20070249164A1 (en) Method of fabricating an interconnect structure
US8324061B2 (en) Method for manufacturing semiconductor device
US6037250A (en) Process for forming multilevel interconnection structure
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
US8445376B2 (en) Post-etching treatment process for copper interconnecting wires
US6472751B1 (en) H2 diffusion barrier formation by nitrogen incorporation in oxide layer
JP4002704B2 (ja) 半導体装置の製造方法
JP2007116167A (ja) 特徴画成部を形成する方法
CN107919319B (zh) 内连线结构的制造方法
JP2002170881A (ja) 半導体装置及びその製造方法
KR100479816B1 (ko) 반도체소자의제조방법
US20230041753A1 (en) Semiconductor Device and Method of Manufacture
JP2009259996A (ja) 半導体装置およびその製造方法
TW495911B (en) Method of using inorganic antireflection coating layer to manufacture contact window and reduce device damage
US7601633B2 (en) Semiconductor device and method for fabricating the same
WO2004053978A1 (en) Method for cleaning a metal surface by a dry-etching step

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees