TWI429046B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI429046B
TWI429046B TW098115797A TW98115797A TWI429046B TW I429046 B TWI429046 B TW I429046B TW 098115797 A TW098115797 A TW 098115797A TW 98115797 A TW98115797 A TW 98115797A TW I429046 B TWI429046 B TW I429046B
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
semiconductor device
layer
forming
fabricating
Prior art date
Application number
TW098115797A
Other languages
English (en)
Other versions
TW201027698A (en
Inventor
Hung Pin Chang
Kuo Ching Hsu
Chen Shien Chen
Wen Chih Chiou
Chen Hua Yu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201027698A publication Critical patent/TW201027698A/zh
Application granted granted Critical
Publication of TWI429046B publication Critical patent/TWI429046B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Description

半導體裝置及其製造方法
本發明係有關於積體電路,且特別是有關於一種堆疊晶粒之隔離結構。
自從發明積體電路以來,由於各種電子元件(例如電晶體,二極體,電阻,電容等)的積集度持續不斷的改良,半導體產業已經經歷了快速的成長。此種積集度的改良使得最小特徵尺寸不斷減少,讓元件可以整合在一個特定區域。
上述積集度的改善在本質上是二維(平面)的性質,因為積體電路所佔用的體積基本上是在半導體晶圓的表面。雖然微影技術的進步已使得二維積體電路的製作得到相當大的改良,但在二維上可達到的密度有其物理上的限制。其中一個限制便是製作這些元件所需之最小尺寸。而且當需要將更多元件放置在同一晶片上時,所需要的設計也越複雜。為了更進一步增加電路密度,業界提出了所謂的”三維(3D)積體電路”。在典型的三維(3D)積體電路製程中係將兩個晶粒互相接合並與基底上之接觸墊形成電性連接。例如,可將兩個晶粒的頂部互相接合,然後將堆疊的晶粒接合至一載板,並以焊線將每一晶粒之接合墊電性連接至載板之接合墊。
矽穿孔(TSV;Through-Silicon Via)技術為近來發展的重點。一般來說,TSV技術是將基板蝕刻出一垂直孔洞,並在孔洞中填入導電材料,如銅。將基板的背面薄化後露出TSV,並在TSV上直接設置焊球以提供電性接觸。之後,在焊球放置另一晶粒即可形成堆疊晶粒封裝。
由於基板經過了薄化,於基板電路面進行的介電製程並未形成於背面,於是基板背面是在缺乏保護的情況下,把焊球放置在暴露的TSV,使得表面的潤濕性不足,焊球和基板之間無法形成良好的電性連接。此外,該結構限制了接合面的機械強度並限制了I/O引腳總數。
因此,業界亟須一種接合TSV的改良結構與方法。
本發明提供一種半導體裝置,包括:一半導體基底,其具有相對之電路側與背側;複數個矽穿孔,延伸進入半導體基底,且每一矽穿孔具有一突出部,突出於半導體基底之背側;一隔離層,位於半導體基底之背側且介於相鄰之矽穿孔之間,隔離層未延伸超過每一矽穿孔之突出部的頂端;以及,一導電元件,位於每一矽穿孔之突出部上。
本發明亦提供一種半導體裝置的製造方法,包括:提供一半導體基底,其具有一矽穿孔從一電路側延伸進入半導體基底;薄化半導體基底之背側,使矽穿孔突出於半導體基底之背側;形成一隔離層於半導體基底之背側與矽穿孔上;薄化隔離層以露出矽穿孔;以及,形成一導電元件於矽穿孔上。
本發明另提供一種半導體裝置的製造方法,包括:提供一半導體基底,其具有相對之第一側與第二側,半導體基底具有一矽穿孔從第一側部分延伸進入半導體基底;露出矽穿孔,使矽穿孔至少一部分突出於半導體基底之第二側;形成一介電層於半導體基底之第二側;形成一圖案化罩幕於介電層上,並露出矽穿孔上之介電層;以及,去除矽穿孔上之介電層。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
第1~5圖繪示一種具有隔離結構之晶粒的製作方法,其可用於三維(3D)積體電路或堆疊晶粒結構。在以下的實施例與圖示中,類似的元件將以類似的標號表示。
第1圖顯示一半導體基底110,其上形成有電路112。半導體基底110例如可包括:摻雜或未摻雜之矽塊材(bulk Si)、或絕緣層上半導體(SOI;semiconductor-on-insulator)之主動層。一般而言,SOI基底包含一層半導體材料(例如矽)設置於一絕緣層上,例如埋藏氧化層(buried oxide layer)或氧化矽層。該絕緣層設置於一基底上,通常為矽基底或玻璃基底。此外,亦可使用多層基底、梯度(gradient)基底等其他基底。
半導體基底110上的電路112可以是具有特定用途的任何電路。在一實施例中,電路112包括基底上的數個電子元件,且其上具有一或多個介電層。介電層之間可形成金屬層以作為電子元件之間的電性連接。電子元件亦可形成在一或多個介電層之中。
舉例而言,電路112可包括各種N型金氧半(NMOS)電晶體、P型金氧半(PMOS)電晶體、電容、電阻、二極體、光二極體、熔絲等互相連接之元件以進行一或多種功能。這些功能可包括:記憶體結構、運算結構、感應器、放大器、配電系統、輸入/輸出電路等。此技術領域人士當可了解,上述提到的例子只是作為舉例之用,而非用來限定本發明之用途,因此,本發明亦可使用其他的電路。
第1圖所示更包括一蝕刻停止層114與一內層介電層(ILD)116。蝕刻停止層114所使用之介電材質較佳與底下的半導體基底110及上方的內層介電層116具有不同的蝕刻選擇率。在一實施例中,蝕刻停止層114可為SiN、SiCN、SiCO、CN、或前述之組合,其可利用化學氣相沉積法(CVD;Chemical Vapor Deposition)或電漿加強化學氣相沉積法(PECVD)等技術沉積。
內層介電層116可由氧化矽或低介電常數材料,例如磷矽玻璃(PSG)、硼磷矽玻璃(BPSG)、氟矽玻璃(FSG)、SiOx Cy 、旋塗式玻璃(Spin-On-Glass)、旋塗式高分子(Spin-On-Polymer)、碳化矽材料、前述之化合物、複合物、或組合所形成,其形成方式例如是旋轉塗佈、CVD、PECVD等各種習知技術。應注意的是,蝕刻停止層114與內層介電層116可各自包含數層介電層,且相鄰的介電層之間可形成或不形成蝕刻停止層。
接觸插塞118形成於內層介電層116中以提供電性接觸至電路112。接觸插塞118例如可用以下方式形成:首先,於內層介電層116上形成一光阻材料並利用微影技術將之圖案化,露出內層介電層116中欲形成接觸插塞的部分。接著以蝕刻製程,例如非等向性乾蝕刻,在內層介電層116中形成開口。之後,較佳在開口中順應性地形成擴散阻障層及/或黏著層(未顯示)後,填入導電材料。擴散阻障層較佳包括TaN、Ta、TiN、Ti、CoW、或前述之組合。導電材料較佳包括銅、鎢、鋁、銀、或前述之組合。如此,便可形成第1圖中之接觸插塞118。
於內層介電層116上形成一或多層金屬問介電層(IMD)120以及相關之金屬化層(未顯示),使電路之間能相互連通並提供外界之電性連接。金屬間介電層120較佳是由低介電常數材料所形成,例如氟矽玻璃(FSG),其可由PECVD或高密度電漿CVD(HDP-CVD)等方式形成。金屬間介電層120中可包含類似於蝕刻停止層114之蝕刻停止層。頂層金屬接觸(top metal contact)122形成在最上層的金屬間介電層120上以提供外界之電性連接。
第1圖更顯示矽穿孔(through-silicon via)124。矽穿孔124可由適當方式形成,例如可在形成內層介電層116之前,以蝕刻、銑切(milling)、雷射等一或多種技術,形成穿入基底110的開口。開口中較佳形成襯層126作為隔離,然後再填入導電材料124。襯層126較佳包括氮化矽、氧化物、聚合物、或前述之組合。導電材料124可包括銅、鎢、鋁、銀、或前述之組合。如此,便可形成矽穿孔124。此外,亦可使用導電擴散阻障層等其他材料,例如TaN、Ta、TiN、Ti、CoW、或前述之組合。
應注意的是,雖然圖中所示之矽穿孔124是由基底110之上表面向下延伸,但在其他實施例中也可能是其他型態。例如,矽穿孔124可從內層介電層116或金屬間介電層120之上表面向下延伸。在一實施例中,係於接觸插塞118形成後,利用蝕刻、銑切、雷射等方式形成穿入基底110的開口,然後依照前述方式形成襯層與導電材料即可形成矽穿孔124。
頂層金屬接觸122上形成有導電凸塊128,例如Cu、W、CuSn、AuSn、InAu、PbSn等金屬凸塊。載板130藉由粘著劑(adhesive)132貼附於金屬間介電層120的上表面。載板130可以在後續的製程中提供機械上與結構上的暫時支撐,以減少或避免對半導體基底110的傷害。
載板130例如可包括:玻璃、氧化矽、氧化鋁等。在一實施例中,可利用粘結劑132將載板130粘附到金屬間介電層120(或保護層)之上表面。粘結劑132可以任何適當的粘結劑例如紫外光(UV)膠,其經過紫外光照射後會喪失黏性。載板130的較佳厚度可從數密爾(mil)到數拾密爾(mil)的範圍。
第2圖顯示在基底110背面進行薄化製程以露出矽穿孔124/襯層126。薄化製程可使用機械磨削(Mechanical Grinding)、化學機械研磨、蝕刻製程、或前述之組合。例如,可先利用磨削或化學機械研磨露出矽穿孔124,然後再以濕蝕刻或乾蝕刻對半導體基底110進行凹蝕(recessing),使矽穿孔124與襯層126突出於基底110表面,如第2圖所示,其中所用之濕蝕刻或乾蝕刻對於襯層126材料與基底110材料具有高蝕刻選擇比。在一實施例中,當矽穿孔124為銅,而襯層126為氧化物時,可以使用HBr/O2 、HBr/Cl2 /O2 、SF6 /Cl2 、SF6 電漿等乾蝕刻製程對半導體基底110進行凹蝕。矽穿孔124與襯層126露出的部分較佳約從次微米到數微米。
第3圖顯示在基底110背面(或基底表面之原生氧化層)形成隔離層310。在較佳實施例中,隔離層310為介電材料,例如SiN、氧化物、SiC、SiON、聚合物等,可利用旋轉塗佈、印刷、CVD等製程形成。較佳者,隔離層310可利用低溫製程形成,例如低於250℃之PECVD製程,如此可避免粘著劑劣化以確保整個製程中的機械強度。
形成隔離層310後,視其形成的方式而定,可能需要進行平坦化製程。有些沉積方式(如旋轉塗佈)可形成一平坦的表面,但有些沉積方式(如CVD)會形成順應性(conformal)的表面,因此需要再進行磨削或化學機械研磨等平坦製程以得到如第3圖所示之平坦表面。
第3圖顯示以薄化製程將矽穿孔124再次露出。薄化製程可使用機械磨削、化學機械研磨、蝕刻製程、或前述之組合。例如,可先利用磨削或化學機械研磨露出矽穿孔124,然後再以濕蝕刻或乾蝕刻對隔離層310進行凹蝕,使矽穿孔124突出於隔離層310表面,如第4圖所示,其中所用之濕蝕刻或乾蝕刻對矽穿孔124材料與襯層126、隔離層310材料具有高蝕刻選擇比。在一實施例中,當矽穿孔124為銅時,可以使用乾蝕刻製程或氫氟酸之濕蝕刻製程對隔離層310進行凹蝕。但在其他實施例中亦可使用其他材料與蝕刻方式。矽穿孔124露出的部分較佳約從次微米到數微米。第4圖亦顯示襯層126在上述隔離層310的凹蝕步驟中被一併去除。
第5圖顯示在露出的矽穿孔124上形成連接元件510。連接元件510可以是任何適當的導電材料,如Cu、Ni、Sn、Au、Ag等,且可用任何適當的方式形成,包括蒸鍍、電鍍、印刷、噴射(jetting)沉積、焊柱凸點(stud bump)技術、直接放置(direct placement)等方式。
之後可視實際需要進行各種後段製程(BEOL;back-end-of-line)。例如,可去除載板130、形成封膠(encapsulant)、晶粒切割(singulation)、晶圓級或晶粒級堆疊等製程。應注意的是,本發明之實施例可以應用在各種情況,例如晶粒對晶粒接合、晶圓對晶圓接合、或晶粒對晶圓接合等。
第6-11圖顯示本發明於晶粒上形成隔離結構的另一實施例,其適用於堆疊晶粒結構。第6-11圖之起始步驟具有如第2圖所示之結構,其中相似的元件符號代表相似的元件。
第6圖顯示在基底110背面(或基底表面之原生氧化層)形成隔離層610。在一較佳實施例中,隔離層610為一層順應性(conformal)的介電材料,例如SiN、氧化物、SiC、SiON、聚合物等,較佳者,隔離層610可利用低溫製程形成,例如低於250℃之PECVD製程,如此可避免粘著劑劣化以確保整個製程中的機械強度。隔離層610的厚度較佳數千埃()。應注意的是,隔離層610的厚度小於矽穿孔124突出基板110的高度。
第7圖顯示在隔離層610上形成罩幕層710,第8圖顯示進行回蝕刻製程以露出位於矽穿孔124上的隔離層610。在一實施例中,罩幕層710包括一光阻材料,但其他與底下隔離層610及襯層126具有高蝕刻選擇性的材料也可使用。上述的回蝕刻製程例如可使用乾蝕刻。
之後,如第9圖所示,以乾蝕刻或濕蝕刻製程去除矽穿孔124上的隔離層610與襯層126以露出矽穿孔124。上述乾蝕刻或濕蝕刻對於罩幕層710、矽穿孔124材料與隔離層610、襯層126材料具有高蝕刻選擇性。在一實施例中,當矽穿孔124為銅時,可以使用乾蝕刻製程或氫氟酸之濕蝕刻製程以露出矽穿孔124。但在其他實施例中亦可使用其他材料與蝕刻方式。
第10圖顯示罩幕層710的去除。在一實施例中,當罩幕層710為光阻時,可使用電漿灰化或濕式剝除製程將罩幕層710去除。電漿灰化製程條件較佳例如:氧氣流量約1000-2000sccm、壓力約300-600mTorr、功率約500-2000瓦、溫度約80-200℃。進行電漿灰化後,可視需要將晶圓浸在一溶劑中進行清潔並去除任何殘留的光阻材料。
第11圖顯示在露出的矽穿孔124上形成連接元件1110。連接元件1110可以是任何適當的導電材料,如Cu、Ni、Sn、Au、Ag等,且可用任何適當的方式形成,包括蒸鍍、電鍍、印刷、噴射(jetting)沉積、焊柱凸點(stud bump)技術、直接放置(direct placement)等方式。
之後可視實際需要進行各種後段製程(BEOL;back-end-of-line)。例如,可去除載板130、形成封膠(encapsulant)、晶粒切割(singulation)、晶圓級或晶粒級堆疊等製程。應注意的是,本發明之實施例可以應用在各種情況,例如晶粒對晶粒接合、晶圓對晶圓接合、或晶粒對晶圓接合等。
第12-16圖顯示本發明於晶粒上形成隔離結構的又一實施例,其適用於堆疊晶粒結構。第12-16圖之起始步驟具有如第4圖所示之結構,其中相似的元件符號代表相似的元件。
請參照第12圖,在隔離層310與矽穿孔124露出的表面上沉積一順應性的晶種層1210。晶種層1210為一導電薄膜,其可幫助後續形成較厚的膜層。在一實施例中,晶種層1210可利用CVD或物理氣相沈積法(PVD;Physical Vapor Deposition)形成例如Cu、Ti、Ta、TiN、TaN等薄導電層。例如,可利用PVD形成Ti阻障層,再以PVD形成Cu晶種層。
第13圖顯示在晶種層1210上形成一圖案化罩幕1310。圖案化罩幕1310較佳包括圖案化光阻、硬罩幕等。在一較佳實施例中,係沉積一光阻材料並將之圖案化而在矽穿孔124上形成開口1312。
之後,如第14圖所示,在開口1312中形成導電接墊(conductive pad)1410。導電接墊1410例如可利用電鍍、無電電鍍等方式形成。在一實施例中,可將晶圓浸入電鍍液中進行電鍍製程,其中晶圓表面電性連接至外部直流電源供應器之負極,以使晶圓在電鍍過程中作為陰極(cathode),而固態導電陽極(anode),例如銅陽極,亦浸入電鍍液中並連接至電源供應器之正極。來自陽極之原子溶入電鍍液中然後到達陰極(晶圓),如此可在晶圓露出的導電區域上(例如開口1312)進行電鍍。
第15圖顯示將圖案化罩幕1310去除。在一實施例中,當罩幕層1310為光阻時,可使用電漿灰化或濕式剝除製程將罩幕層1310去除。
第16圖顯示將露出之晶種層1210去除。晶種層1210例如可利用濕蝕刻製程加以去除。
之後可視實際需要進行各種後段製程(BEOL;back-end-of-line)。例如,可去除載板130、形成封膠(encapsulant)、晶粒切割(singulation)、晶圓級或晶粒級堆疊等製程。應注意的是,本發明之實施例可以應用在各種情況,例如晶粒對晶粒接合、晶圓對晶圓接合、或晶粒對晶圓接合等。
第17-21圖顯示本發明於晶粒上形成隔離結構的再一實施例,其適用於堆疊晶粒結構。第17-21圖之起始步驟具有如第10圖所示之結構,其中相似的元件符號代表相似的元件。
請參照第17圖,在隔離層610與矽穿孔124露出的表面上沉積一順應性的晶種層1710。晶種層1710為一導電薄膜,其可幫助後續形成較厚的膜層且可包括一阻障層。晶種層1710的形成方式與材質類似於第12圖的晶種層1210。
第18圖顯示在晶種層1710上形成一圖案化罩幕1810。圖案化罩幕1310較佳包括圖案化光阻、硬罩幕等。在一較佳實施例中,係沉積一光阻材料並將之圖案化而在矽穿孔124上形成開口1812。
之後,在開口1812中形成導電接墊1910。導電接墊1910例如可利用電鍍、無電電鍍等方式形成,如第14圖之導電接墊1410。
第20圖顯示將圖案化罩幕1810去除。在一實施例中,當罩幕層1810為光阻時,可使用電漿灰化或濕式剝除製程將罩幕層1810去除。進行電漿灰化後,可視需要將晶圓浸在一溶劑中進行清潔並去除任何殘留的光阻材料。
第21圖顯示將露出之晶種層1710去除。晶種層1710例如可利用濕蝕刻製程加以去除。
之後可視實際需要進行各種後段製程(BEOL;back-end-of-line)。例如,可去除載板130、形成封膠(encapsulant)、晶粒切割(singulation)、晶圓級或晶粒級堆疊等製程。應注意的是,本發明之實施例可以應用在各種情況,例如晶粒對晶粒接合、晶圓對晶圓接合、或晶粒對晶圓接合等。
由以上實施例可知,本發明提供一種隔離結構,其圍繞露出之矽穿孔,因此提供一較大之濕潤表面,使焊球和基板之間可形成良好的電性連接。如此一來,可增加焊球的密度。此外,隔離層亦可增加接合界面的機械強度。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
110...基底
112...電路
114...蝕刻停止層
116...內層介電層
118...接觸插塞
120...金屬間介電層
122...頂層金屬接觸
124...矽穿孔
126...襯層
128...導電凸塊
130...載板
132...粘著劑
310、610...隔離層
510、1110...連接元件
710...罩幕層
1210、1710...晶種層
1310...圖案化罩幕
1312、1712...開口
1410、1910...導電接墊
第1-5圖顯示本發明於晶粒上形成隔離結構的實施例。
第6-11圖顯示本發明於晶粒上形成隔離結構的另一實施例。
第12-16圖顯示本發明於晶粒上形成隔離結構的又一實施例。
第17-21圖顯示本發明於晶粒上形成隔離結構的再一實施例。
110...基底
112...電路
114...蝕刻停止層
116...內層介電層
118...接觸插塞
120...金屬間介電層
122...頂層金屬接觸
124...矽穿孔
126...襯層
128...導電凸塊
130...載板
132...粘著劑
310...隔離層
510...連接元件

Claims (19)

  1. 一種半導體裝置,包括:一半導體基底,其具有相對之電路側與背側;多個導電凸塊,位於該半導體基底之電路側;複數個矽穿孔,延伸進入該半導體基底,且每一矽穿孔具有一突出部,突出於該半導體基底之背側;一隔離層,位於該半導體基底之背側且介於相鄰之矽穿孔之間,該隔離層未延伸超過每一矽穿孔之突出部的頂端;以及一導電元件,位於每一矽穿孔之突出部上。
  2. 如申請專利範圍第1項所述之半導體裝置,其中導電元件包括:一導電晶種層於每一矽穿孔之突出部上;以及,一導電接墊於該導電晶種層上。
  3. 如申請專利範圍第2項所述之半導體裝置,其中該導電晶種層延伸至部分之隔離層上。
  4. 如申請專利範圍第2項所述之半導體裝置,其中該導電接墊包含銅。
  5. 如申請專利範圍第1項所述之半導體裝置,其中該隔離層延伸至每一矽穿孔之突出部的側壁。
  6. 如申請專利範圍第1項所述之半導體裝置,其中該導電元件包含焊球。
  7. 一種半導體裝置的製造方法,包括:提供一半導體基底,其具有相對之一電路側與一背側,該半導體基底具有多個導電凸塊於該電路側、與一矽穿孔從該電路側延伸進入該半導體基底; 薄化該半導體基底之背側,使該矽穿孔突出於該半導體基底之背側;形成一隔離層於該半導體基底之背側與該矽穿孔上;薄化該隔離層以露出該矽穿孔;以及形成一導電元件於該矽穿孔上。
  8. 如申請專利範圍第7項所述之半導體裝置的製造方法,其中形成該導電元件的步驟包括:形成一焊球於該矽穿孔上。
  9. 如申請專利範圍第7項所述之半導體裝置的製造方法,其中形成該導電元件的步驟包括:形成一晶種層於該矽穿孔上;以及,形成一金屬接墊於該晶種層上。
  10. 如申請專利範圍第7項所述之半導體裝置的製造方法,其中該半導體基底與該矽穿孔之間更包括一襯層,且更包括去除該矽穿孔上之該襯層。
  11. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中去除該襯層之步驟是於該隔離層形成後進行。
  12. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中該隔離層具有一平坦表面。
  13. 一種半導體裝置的製造方法,包括:提供一半導體基底,其具有相對之第一側與第二側,其中該第一側為一電路側而該第二側為一背側,且其中該半導體基底具有多個導電凸塊於該第一側、與一矽穿孔從第一側部分延伸進入該半導體基底; 露出該矽穿孔,使該矽穿孔至少一部分突出於該半導體基底之第二側;形成一介電層於該半導體基底之第二側;形成一圖案化罩幕於該介電層上,並露出該矽穿孔上之該介電層;以及去除該矽穿孔上之該介電層。
  14. 如申請專利範圍第13項所述之半導體裝置的製造方法,更包括形成一導電元件於該矽穿孔上。
  15. 如申請專利範圍第14項所述之半導體裝置的製造方法,其中該導電元件包括焊球。
  16. 如申請專利範圍第13項所述之半導體裝置的製造方法,其中於去除該介電層之後更包括:去除該圖案化罩幕。
  17. 如申請專利範圍第13項所述之半導體裝置的製造方法,其中該半導體基底與該矽穿孔之間更包括一襯層。
  18. 如申請專利範圍第17項所述之半導體裝置的製造方法,其中於形成該圖案化罩幕之後更包括:去除該襯層。
  19. 如申請專利範圍第17項所述之半導體裝置的製造方法,其中該襯層延伸至該矽穿孔之突出部分的側壁。
TW098115797A 2009-01-05 2009-05-13 半導體裝置及其製造方法 TWI429046B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/348,622 US20100171197A1 (en) 2009-01-05 2009-01-05 Isolation Structure for Stacked Dies

Publications (2)

Publication Number Publication Date
TW201027698A TW201027698A (en) 2010-07-16
TWI429046B true TWI429046B (zh) 2014-03-01

Family

ID=42311151

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098115797A TWI429046B (zh) 2009-01-05 2009-05-13 半導體裝置及其製造方法

Country Status (3)

Country Link
US (2) US20100171197A1 (zh)
CN (1) CN101771012B (zh)
TW (1) TWI429046B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US9530726B2 (en) * 2010-06-28 2016-12-27 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9064712B2 (en) * 2010-08-12 2015-06-23 Freescale Semiconductor Inc. Monolithic microwave integrated circuit
US9048151B2 (en) * 2010-08-25 2015-06-02 Texas Instruments Incorporated Self-powered integrated circuit with photovoltaic cell
US9167694B2 (en) * 2010-11-02 2015-10-20 Georgia Tech Research Corporation Ultra-thin interposer assemblies with through vias
TWI441305B (zh) 2010-12-21 2014-06-11 Ind Tech Res Inst 半導體裝置
KR20120090417A (ko) * 2011-02-08 2012-08-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9057850B2 (en) 2011-03-24 2015-06-16 Centera Photonics Inc. Optoelectronic module
US8940563B2 (en) 2011-03-24 2015-01-27 Centera Photonics Inc. Method for manufacturing optoelectronic module
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US8519516B1 (en) * 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
CN103367239A (zh) * 2012-04-09 2013-10-23 南亚科技股份有限公司 显露穿硅通孔的方法
KR101972969B1 (ko) * 2012-08-20 2019-04-29 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9012324B2 (en) * 2012-08-24 2015-04-21 United Microelectronics Corp. Through silicon via process
US9030010B2 (en) 2012-09-20 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging devices and methods
US20140199833A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Methods for performing a via reveal etching process for forming through-silicon vias in a substrate
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US20140264954A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Passivation and warpage correction by nitride film for molded wafers
FR3009128A1 (fr) * 2013-07-25 2015-01-30 Commissariat Energie Atomique Procede de realisation d'un plot conducteur sur un element conducteur
KR102151177B1 (ko) * 2013-07-25 2020-09-02 삼성전자 주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US9768147B2 (en) 2014-02-03 2017-09-19 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods
US10903142B2 (en) * 2018-07-31 2021-01-26 Intel Corporation Micro through-silicon via for transistor density scaling
US11133282B2 (en) 2019-05-31 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. COWOS structures and methods forming same
US11183443B2 (en) * 2019-06-13 2021-11-23 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same
US11309254B2 (en) * 2020-02-18 2022-04-19 Nanya Technology Corporation Semiconductor device having through silicon vias and method of manufacturing the same
US11264350B2 (en) * 2020-03-19 2022-03-01 Nanya Technology Corporation Semiconductor device with composite dielectric structure and method for forming the same
KR20210130440A (ko) * 2020-04-22 2021-11-01 삼성전자주식회사 비아 보호층을 갖는 반도체 소자
KR20210145568A (ko) * 2020-05-25 2021-12-02 에스케이하이닉스 주식회사 기판들이 스택된 반도체 장치 및 제조 방법
CN111739840B (zh) * 2020-07-24 2023-04-11 联合微电子中心有限责任公司 一种硅转接板的制备方法及硅转接板的封装结构

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
KR100377033B1 (ko) * 1996-10-29 2003-03-26 트러시 테크날러지스 엘엘시 Ic 및 그 제조방법
JPH10163315A (ja) * 1996-11-28 1998-06-19 Nec Ic Microcomput Syst Ltd 半導体回路装置
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
KR100281897B1 (ko) * 1998-07-21 2001-03-02 윤종용 도전층을 갖는 반도체 장치의 제조방법
US6706189B2 (en) 1998-10-09 2004-03-16 Zenon Environmental Inc. Cyclic aeration system for submerged membrane modules
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP3998373B2 (ja) * 1999-07-01 2007-10-24 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
JP3626058B2 (ja) * 2000-01-25 2005-03-02 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US7053465B2 (en) 2000-11-28 2006-05-30 Texas Instruments Incorporated Semiconductor varactor with reduced parasitic resistance
US6498381B2 (en) * 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6717254B2 (en) * 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6696360B2 (en) * 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US7224063B2 (en) * 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
EP1472730A4 (en) * 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res HOUSING FOR ELECTRONIC CIRCUITS WITH REDUCED SIZE
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6642081B1 (en) * 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4213478B2 (ja) * 2003-01-14 2009-01-21 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4072677B2 (ja) * 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
TWI241000B (en) 2003-01-21 2005-10-01 Siliconware Precision Industries Co Ltd Semiconductor package and fabricating method thereof
JP4035066B2 (ja) * 2003-02-04 2008-01-16 株式会社ルネサステクノロジ 半導体装置の製造方法
TWI239629B (en) * 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
JP2004297019A (ja) * 2003-03-28 2004-10-21 Seiko Epson Corp 半導体装置、回路基板及び電子機器
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6908856B2 (en) * 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6897125B2 (en) * 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US6878616B1 (en) * 2003-11-21 2005-04-12 International Business Machines Corporation Low-k dielectric material system for IC application
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
JP4339152B2 (ja) * 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
JP4327644B2 (ja) * 2004-03-31 2009-09-09 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4439976B2 (ja) * 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
WO2006019156A1 (ja) * 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
US7109068B2 (en) * 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
KR20060054689A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR101052366B1 (ko) 2004-11-16 2011-07-28 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR20060054690A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
JP2006339584A (ja) * 2005-06-06 2006-12-14 Sharp Corp 半導体装置およびその製造方法
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7795134B2 (en) * 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7772116B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US7863187B2 (en) * 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
JP5073946B2 (ja) 2005-12-27 2012-11-14 新光電気工業株式会社 半導体装置および半導体装置の製造方法
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US7902643B2 (en) * 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7514775B2 (en) 2006-10-09 2009-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7544605B2 (en) 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
JP4415984B2 (ja) * 2006-12-06 2010-02-17 ソニー株式会社 半導体装置の製造方法
US8247322B2 (en) * 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US7564115B2 (en) * 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
KR20080101635A (ko) 2007-05-18 2008-11-21 삼성전자주식회사 반도체 패키지, 그 제조 방법, 및 반도체 패키지를 이용한패키지 모듈 및 전자 제품
JP4937842B2 (ja) * 2007-06-06 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20090057909A1 (en) 2007-06-20 2009-03-05 Flipchip International, Llc Under bump metallization structure having a seed layer for electroless nickel deposition
TW200910557A (en) 2007-06-20 2009-03-01 Flipchip Int Llc Under bump metallization structure having a seed layer for electroless nickel deposition
TWI351751B (en) * 2007-06-22 2011-11-01 Ind Tech Res Inst Self-aligned wafer or chip structure, self-aligned
US8193092B2 (en) * 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
KR101387701B1 (ko) 2007-08-01 2014-04-23 삼성전자주식회사 반도체 패키지 및 이의 제조방법
JP2009055004A (ja) 2007-08-24 2009-03-12 Honda Motor Co Ltd 貫通配線構造
JP2009147218A (ja) * 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
JP5358089B2 (ja) 2007-12-21 2013-12-04 スパンション エルエルシー 半導体装置
US7804119B2 (en) 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US8178976B2 (en) 2008-05-12 2012-05-15 Texas Instruments Incorporated IC device having low resistance TSV comprising ground connection
US8253230B2 (en) * 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
JP5324822B2 (ja) * 2008-05-26 2013-10-23 ラピスセミコンダクタ株式会社 半導体装置
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20100013060A1 (en) * 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
KR20100021856A (ko) * 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US8932906B2 (en) * 2008-08-19 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via bonding structure
US7772081B2 (en) * 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
US7928534B2 (en) * 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7935571B2 (en) * 2008-11-25 2011-05-03 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) * 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US7999320B2 (en) 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US8097964B2 (en) * 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8119447B2 (en) 2009-06-17 2012-02-21 Stats Chippac Ltd. Integrated circuit packaging system with through via die having pedestal and recess and method of manufacture thereof
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9070679B2 (en) 2009-11-24 2015-06-30 Marvell World Trade Ltd. Semiconductor package with a semiconductor die embedded within substrates
US8299633B2 (en) 2009-12-21 2012-10-30 Advanced Micro Devices, Inc. Semiconductor chip device with solder diffusion protection
US8294261B2 (en) * 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices
US8587121B2 (en) * 2010-03-24 2013-11-19 International Business Machines Corporation Backside dummy plugs for 3D integration
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8174124B2 (en) * 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8466061B2 (en) 2010-09-23 2013-06-18 Infineon Technologies Ag Method for forming a through via in a semiconductor element and semiconductor element comprising the same
US8466553B2 (en) 2010-10-12 2013-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package having the same
US8344493B2 (en) 2011-01-06 2013-01-01 Texas Instruments Incorporated Warpage control features on the bottomside of TSV die lateral to protruding bottomside tips
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8273604B2 (en) 2011-02-22 2012-09-25 STAT ChipPAC, Ltd. Semiconductor device and method of forming WLCSP structure using protruded MLP
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8791009B2 (en) 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure

Also Published As

Publication number Publication date
TW201027698A (en) 2010-07-16
CN101771012B (zh) 2016-01-20
US20140225277A1 (en) 2014-08-14
US10163756B2 (en) 2018-12-25
US20100171197A1 (en) 2010-07-08
CN101771012A (zh) 2010-07-07

Similar Documents

Publication Publication Date Title
TWI429046B (zh) 半導體裝置及其製造方法
TWI416692B (zh) 半導體裝置及其製造方法
TWI411084B (zh) 半導體元件與其形成方法
US9455158B2 (en) 3DIC interconnect devices and methods of forming same
US8432038B2 (en) Through-silicon via structure and a process for forming the same
JP5345077B2 (ja) 低k誘電体ライナーを有するシリコン貫通ビア
JP5271985B2 (ja) 集積回路構造
TWI399827B (zh) 堆疊晶粒的形成方法
TWI602273B (zh) 半導體裝置
US20100244251A1 (en) Semiconductor device and method for fabricating the same
TWI544597B (zh) 積體電路元件以及半導體製程
TW201935583A (zh) 形成用於半導體裝置連接的通孔的方法
JP2010045371A (ja) 導電性保護膜を有する貫通電極構造体及びその形成方法
US9190345B1 (en) Semiconductor devices and methods of manufacture thereof
US9583465B1 (en) Three dimensional integrated circuit structure and manufacturing method of the same
WO2010035375A1 (ja) 半導体装置及びその製造方法
TW202218103A (zh) 半導體結構、封裝結構、及封裝方法
TWI569316B (zh) 半導體晶圓之接合結構的形成方法
TW202406018A (zh) 具有高深寬比tsv的電連接結構及其製造方法
US20230420330A1 (en) Semiconductor Packages and Methods of Forming the Same
TW201118992A (en) Through substrate via structure and fabrication method thereof