TWI380372B - Temperature measurement and control of wafer support in thermal processing chamber - Google Patents

Temperature measurement and control of wafer support in thermal processing chamber Download PDF

Info

Publication number
TWI380372B
TWI380372B TW097101551A TW97101551A TWI380372B TW I380372 B TWI380372 B TW I380372B TW 097101551 A TW097101551 A TW 097101551A TW 97101551 A TW97101551 A TW 97101551A TW I380372 B TWI380372 B TW I380372B
Authority
TW
Taiwan
Prior art keywords
substrate
edge ring
temperature
cavity
heat source
Prior art date
Application number
TW097101551A
Other languages
English (en)
Other versions
TW200845222A (en
Inventor
Aaron Muir Hunter
Bruce E Adams
Mehran Behdjat
Rajesh S Ramanujam
Joseph M Ranish
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200845222A publication Critical patent/TW200845222A/zh
Application granted granted Critical
Publication of TWI380372B publication Critical patent/TWI380372B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

0380372 九、發明說明: 【發明所屬之技術領域】 本發明一般地關於半導體處理領域。更具體地,本發 明關於熱處理半導體基板的方法和設備。 【先前技術】
快速熱處理(RTP )是一種在半導體處理過程中用於 退火基板的製程。在RTP過程中,熱輻射一般用來在受控 的環境中快速加熱基板達到大約1350°C的最大溫度。該 最大溫度保持一定的時間,其範圍根據製程從小於一秒到 幾分鐘變化。然後基板冷卻到室溫以進一步處理。高亮度 的鹵鎢燈一般用做熱輻射源。通過傳導地耦接到基板的熱 的基座給基板提供額外的熱量。
半導體製程有很多RTP的應用。這樣的應用包括熱氧 化、高溫浸泡(soap )退火、低溫浸泡退火、和尖峰暫態 加熱,其使得矽基板氧化形成二氧化矽。在高溫浸泡退火 中,基板暴露於比如氮氣、氨氣或者氧氣的不同氣體混合 物中。低溫浸泡退火一般用於退火沈積金屬的基板。當基 板需要暴露在高溫下很短的時間時,使用暫態退火。在暫 態退火期間,基板被迅速加熱到足夠啟動摻雜劑的最高溫 度並且迅速冷卻以在摻雜劑大量擴散之前結束活化製程。 RTP通常要求在整個基板上基本均勻的溫度曲線。在 本領域製程發展水平中,可以通過控制諸熱源來改善溫度 均勻性,比如配置爲當後側的反射表面把熱反射回基板 5 1380372
備和方法。 【發明内容】 本發明一般提供用於在快速熱處理製程中獲得均 熱基板的設備和方法。 本發明的一個實施方式提供一種用於處理基板的 包括:一腔外殼,用於限定處理空間;一基板支撐件 置在處理空間中;一邊緣環,設置在所述基板支撐件 所述邊緣環被配置用於在所述基板的周邊上支撐所 板;一第一熱源,用於加熱所述基板;以及一第二熱 用於加熱所述邊緣環,其中所述第二熱源是獨立於所 一熱源可控的。 本發明的另一個實施方式提供一種快速熱處理室 括:一腔體,其限定腔空間;一溫度受控邊緣環,其 在腔空間中•其中溫度受控邊緣環配置用於與正在處 基板在基板周邊附近熱耦接;一第一熱源,主要用於 基板的表面,和一第二熱源,主要用於加熱溫度受控 環。 本發明的再一實施方式提供一種用於均勻加熱基 目標溫度的方法,包括··在和第一熱源相連接的處理 設置基板;熱連接所述基板的周邊到邊緣環;用所述 熱源加熱基板的表面;以及將邊緣環保持在與目標溫 同的環溫度。 勻加 腔, ,設 上, 述基 源, 述第 ,包 設置 理的 加熱 邊緣 板到 室中 第一 度不 7 1380372 【實施方式】 本發明提供用於在快速熱處理製程中獲得均勻加熱基 板的設備和方法。更具體地,本發明提供用於在快速熱處 理製程中控制支撐基板的邊緣環的溫度的設備和方法,以 改善整個基板上的溫度均勻性。
第2圖示意性示出了根據本發明一個實施方式的快速 熱處理系統10的截面圖。快速熱處理系統10包括腔體 35,其限定配置用於退火其中的圓盤狀基板12的處理空間 14。腔體35可以由不銹鋼製成並且可以用石英接線。處理 空間1 4配置爲由設置在快速熱處理系統1 〇的石英窗1 8 上的加熱組件16輻射加熱。在一個實施方式中,石英窗 1 8可以是水冷的。
狹口閥(slitvalve)30可以在腔體35的一側上形成, 其中腔體 35 爲基板 12提供到處理空間 14 的通路 (passage )。氣體入口 44可以連接到氣體源45以向處理 空間14提供處理氣體、淨化氣體和/或清洗氣體。真空泵 55可以通過出口 54流體連接到處理空間14,用於抽空處 理空間1 4。 圓形通道(channel ) 22在腔體35的底部附近形成。 磁轉子21設置在圓形通道22中。管狀升降件(tubular riser ) 39放置在磁轉子21上或否則耦接到磁轉子21。基 板12由周邊邊緣通過設置在管狀升降件39上的邊緣環20 支撐。磁定子23位於磁轉子21的外部並且通過腔體35 磁性耦接以感應磁轉子21的旋轉並且因此感應邊緣環20 8 1380372 和其上支撐的基板12的旋轉。磁定子23還可以配置用於 調節磁轉子21上升,從而升高正在處理的基板12。附加 的磁旋轉和懸浮資訊可以在美國專利案號6,800,833中得 到,在此結合其作爲參考。
腔體35可以包括基板12的後侧附近的反射板27。反 射板27具有面對基板12後側的光學反射面28,以增強基 板12的發射率。在一個實施方式中,反射板27可以是水 冷的。反射面28和基板12的後側限定了反射腔(reflective cavity) 15。在一個實施方式中,反射板27的直徑略大於 正在處理的基板 12的直徑。例如,如果快速熱處理系統 10配置爲處理12英寸的基板,反射板27的直徑可以是大 約1 3英寸。 可以通過連接到淨化氣體源4 6的淨化氣體入口 4 8向 反射板27提供淨化氣體。喷射到反射板27的淨化氣體有 助於反射板27冷卻,特別是在熱量沒有被反射回基板12 的多個孔25附近。
在一個實施方式中,外環19可以在腔體35和邊緣環 20之間耦接,以將反射腔15從處理空間14分離。反射腔 15和處理空間14可以具有不同的環境》 加熱組件16可以包括加熱構件陣列37。這組加熱構 件陣列3 7可以是紫外燈、鹵素燈、鐳射二極體、電阻加熱 器、微波激勵的加熱器、發光二極體(LED )、或任何其他 合適的單個或組合的加熱構件。加熱構件陣列3 7可以設置 在形成於反射體53中的垂直孔中。在一個實施方式中,加 9 1380372
熱構件37可以排列爲六邊形圖案。冷卻通道40可以在 射體53中形成。諸如水的冷卻液可以從入口 41進入反 體53,冷卻加熱構件陣列37的垂直孔附近移動,並且 出口 42退出反射體。 加熱構件陣列37連接到能夠調節加熱構件陣列37 加熱效應的控制器52。在一個實施方式中,加熱構件陣 37可以分爲多個加熱組來通過多個同心區域加熱基 12。每個加熱紕可以被獨立控制來提供在基板12的整個 徑上的期望温度曲線。在美國專利案號6,3 50,964和案 6,927,1 69中可以找到加熱組件1 6的詳細說明,在此結 其作爲參考。 在一個實施方式中,主要配置爲加熱邊緣環20的邊 環加熱組件3 8可以設置在加熱構件陣列3 7的外部。邊 環加熱組件3 8連接到可以調節邊緣環加熱組件3 8的加 功率的控制器5 2。邊緣環加熱組件3 8可以獨立於加熱 件陣列3 7控制,因此獨立於基板1 2的溫度而獨立控制 緣環2 0的溫度。在一個實施方式中,邊緣環加熱組件 可以是加熱構件陣列37的加熱組之一。 快速熱處理系統10還包括多個配置用於在不同半 位置測量基板1 2的熱特性的熱探針24。在一個實施方 中,多個熱探針24可以是多個光耦合到並且設置在形成 反射板2 7中的多個孔2 5中的高溫計,以探測基板12的 同半徑部分的溫度或其他熱特性。在美國專利案 5,7 5 5,5 1 1中可以找到類似溫度探針的詳細說明,在此結 反 射 從 的 列 板 半 號 合 緣 緣 熱 構 邊 38 徑 式 於 不 號 合 10 1380372
其作爲參考。多個溫度探針24與控制器52連接,該控 器可以進行閉環控制來調節提供給加熱構件陣列 37的 率,從而以提供在整個基板12上的合適的半徑熱曲線》 快速熱處理系統10還包含邊緣環熱探針31,邊緣 熱探針耦接到並設置在邊緣環20附近的反射板27上的 32中。邊緣環熱探針31可以是配置爲測量邊緣環20的 度或者其他熱特性的高溫計。邊緣環熱探針31和連接到 緣環加熱組件3 8的控制器5 2相連接。控制器5 2可以使 來自邊緣環熱探針3 1的測量進行對邊緣環加熱組件3 8 閉環控制。在一個實施方式中,邊緣環20在熱處理過程 可以獨立於基板12被加熱到期望的溫度。 氣體喷嘴47可以被設置在邊緣環20附近用來冷卻 緣環20。在一個實施方式中,氣體喷嘴47可以和淨化 體入口 48分享同一個淨化氣體源46»氣體喷嘴47可以 導引到邊緣環20並且喷射諸如氦氣的冷卻氣體,以冷卻 緣環20。氣體喷嘴47可通過被控制器52控制的閥門 而連接到氣體源4 6。因此,控制器5 2可以包括在邊緣 20的閉環溫度控制中的氣體喷嘴47的冷卻效果。 根據正在被處理的基板12的熱特性,邊緣環20可 設計爲具有諸如熱質量、發射率和吸收率的熱特性,以 高基板溫度曲線。邊緣環20的熱特性可以通過選擇不同 材料、不同的密度、不同的塗層來改變。在美國專利案 7,1 27,3 67 (存檔案號008504 )中可以找到邊緣環設計的 細描述,在此結合其作爲參考β在一個實施方式中,邊 制 功 環 孔 溫 邊 用 的 中 邊 氣 被 邊 49 環 以 提 的 號 詳 緣 11 1380372 環20可以用有鎳塗層的矽製造。 在快速熱處理過程中’基板12可以通過狹口閥3〇被 傳送到處理空間14,並且由邊緣環2〇支撐。磁轉子2丨可 以旋轉基板12並且在理想高度中定位基板12。在多數製 程中,目標是快速地加熱基板12均勻地達到目標溫度。在 本發明的一個實施方式中,傳遞到基板12的熱主要來自加 熱構件陣列37的輻射和來自加熱到期望溫度的邊緣環2〇 的傳導/或輻射。在整個基板12上的均勻的溫度曲線可以 通過控制加熱構件陣列37並通過保持邊緣環Μ在期望的 溫度來實現’此期望的溫度通常與基12的目標溫度不 同。 控制加熱構件陣列37可以通過使用多個熱探針24在 整個半控上測量基板12的溫度來進行。在一個實施方式 中,多個熱探針24在對應於基板12的半徑的整個反射板 27上均勻刀佈。從多個熱探冑24測得的溫度被控制器” 柚樣。控制器52可以使用測得的溫度來調節加熱構件陣列 37以使在整個基板12半徑上的溫度變得均勻。在一個實 施方式中,控制器52可以通過很多同心區 件陣列…在美國專利案號5,755,川和美國專利申請^ 列號11/195,395 ,以美國專利申請公開號案號 2006/0066193 (存檔案號〇〇915〇)中可以找到通過多個區 域來控制加熱構件的詳細描述,在此結合其作爲參考。 在一個實施方式中,在邊緣環2〇和基板12的周邊之 間的熱交換被用來調節基板12邊緣附近的溫度曲線。熱交 12 1380372
換可以通過基板12和邊緣環20之間的傳導和/或輻 成。在一個實施方式中,可以通過加熱邊緣環20到 12的目標溫度不同的溫度來進行熱交換》 在一個實施方式中,根據製程要求以及邊緣環 板12二者的熱特性,可以爲邊緣環20預先確定期 度。在一個實施方式中,邊緣環20的預定期望溫度 大約1 0°C到1 5 °C,該溫度與基板1 2的目標溫度不 一個實施方式中,邊緣環20的預定期望溫度可以高 12的目標溫度。在另一個實施方式中,邊緣環20 期望溫度可以低於基板12的目標溫度。期望的邊緣 可以由傳熱模型(傳導、輻射,對流)或者由試驗 定。在任一情況下,基板和/或者邊緣環的光學特性 的並且晶圓的溫度均勻性是可測量的。這些試驗的 致邊緣環的期望溫度曲線的預測在基板上達到最好 性。 在另外一個實施方式中,根據基板12和邊緣3 原位熱測量,邊緣環2 0的期望溫度可以是動態的和 的。 主要通過使用邊緣環加熱組件 38完成加熱 20。可以通過控制器52通過從邊緣環熱探針31的 調節邊緣環20的溫度來完成閉環控制。邊緣環20 調節可以通過調節邊緣環加熱組件3 8的電源供應 自氣體喷嘴47的冷卻氣體的流速來完成。在一個實 中,邊緣環2 0可以被過渡加熱,然後通過來自氣 射來完 與基板 20和基 望的溫 可以是 :同。在 於基板 的預定 環溫度 _結果決 是多樣 結果導 的均勻 滚20的 可調節 邊緣環 測量來 的溫度 和/或來 施方式 ,體喷嘴 13 1380372 47的冷卻氣體冷卻到期望的溫度。 在本發明的一個實施方式中,氣體噴嘴47的冷卻氣體 可用來在處理完一個基板之後且開始下一個基板之前將邊 緣環冷卻到20到100°C和200°C之間的溫度。
本發明的方法可以爲提供小於2 ° C,3 σ溫度均勻性的 暫態退火。本發明的方法可以爲提供小於1 ° C,3 σ溫度均 勻性的高達1350°C的高溫浸泡退火。在一個實施方式中, 本發明的方法可以在大約2 0 0 ° C到大約1 3 5 0 ° C之間的溫 度下加熱基板,溫度偏差在大約1 °C到大約1 · 5 °C之間。 快速熱處理系統10的邊緣環加熱構件38圖示爲多個 加熱燈。其他合適的加熱設備,比如固態加熱器(也就是 LED )、鐳射加熱器、電感加熱器、和微波加熱器、電加熱 器(比如,電阻加熱器)可用在本發明的快速熱處理系統 中 〇
另外,邊緣環加熱構件可以被設置在其他合適的位 置,比如邊緣環的下面,在邊緣環的一側。第3圖示意性 描述了 一個具有位於邊緣環.20下面的加熱構件51的快速 熱處理系統1 0 0的截面圖。 在另外一個實施方式中,在熱處理過程中基板可以從 後側加熱。一個示例性的後側加熱腔可以包括邊緣環,該 邊緣環通過擁有前側(産品側)朝向的周邊支撐基板。加 熱構件陣列,和加熱構件3 7相類似,可以設置在邊缘環的 下面,從而基板可以從後側加熱。多個探針可設置在整個 邊緣環半徑上,以在熱處理過程中測量基板的溫度曲線。 14 1380372 專用於獨立加熱邊緣環的邊緣環加熱器設置在邊緣環附 近、邊緣環下方、邊緣環上方、或者在邊緣環的一側。邊 緣環探針可以臨近邊緣環設置,配置用於測量邊緣環的溫 度。控制器可以連接到邊緣環加熱器、邊緣環探針、加熱 構件陣列和多個探針,以控制基板的溫度曲線和邊緣環原 位的溫度。
第4圖示意性描述了根據本發明的一個實施方式的邊 緣環110的截面圖。邊緣環110具有配置用於支撐基板12 的傾斜唇(slant lip ) 1 1 1。邊緣環11 0設計爲減少與基板 12的接觸面積。通過減少基板12和邊緣環110之間的接 觸面積,在基板12的邊緣附近的加熱條件可以得到簡化並 且邊緣高/低效果可以減少。另外,減少接觸面積也可以減 少在處理室中的微粒污染物。 雖然上述討論集中在獲得在整個基板上的均勻的溫度 曲線,但是通過使用本發明的設備和方法也可以獲得非均 勻的溫度曲線。
雖然前面所述針對在本發明的實施方式,但是在不脫 離本發明的基本範圍的情況下可以設計出本發明其他的和 進一步的實施方式,並且其範圍通過接下來的申請專利範 圍來決定。 【圖式簡單說明】 爲了能詳細理解本發明的上述特徵,將參照實施方式 對以上的簡要概述進行本發明的更具體說明,其中部分實 15 1380372 施方式在附圖中示出。然而,應該注意到,附圖只示出了 本發明的典型實施方式,因此不能認爲限制本發明的範 圍,因爲本發明可以承認其他等效的實施方式。 第1圖示意性示出了在RTP腔中處理的基板的兩類公 共溫度曲線; 第2圖示意性示出了根據本發明一個實施方式的快速 熱處理系統的截面圓;
第3圖示意性示出了根據本發明另一實施方式的快速 熱處理系統的截面圖; 第4圖示意性示出了根據本發明一個實施方式的邊緣 環的截面圖。
【主要元件符號說明】 1 曲線 10 快 速 熱 處理系統 12 基 板 14 處 理 空 間 15 反 射 腔 16 加 熱 組 件 18 石 英 窗 19 外 環 20 邊 緣 環 21 磁 轉 子 22 圓 形 通 道 23 磁 定 子 24 熱 探 針 25 孔 27 反 射 板 28 反 射 面 30 狹 口 閥 3 1 熱 探 針 32 孔 35 腔 體 37 加 熱 構 件 38 加 熱 組 件 16 1380372
39 管狀升降件 40 冷卻通道 41 入口 42 出口 44 氣體入口 45 氣體源 46 氣體源 47 氣體喷嘴 48 氣體入口 49 閥門 5 1 加熱構件 52 控制器 53 反射體 54 出σ 55 真空泵 110 邊緣環 111 傾斜唇
17

Claims (1)

1380372 第 號專利案修正 十、申請專利範圍: 1、 一種用於處理一基板的腔,包含: 一腔外殼,用於限定一處理空間,其中該腔外殼包含 一石英窗; 一基板支撐件,設置在該處理空間中; 一邊緣環,設置在該基板支撐件上,其中該邊緣環配 置以在該基板的周邊上支撑該基板; 一第一熱源,設置於該處理空間外的該石英窗上且配 置以加熱該基板;以及 一第二熱源,配置以加熱該邊緣環,其中該第二熱源 相對該第一熱源為可獨立控制的。 2、 如申請專利範圍第1項所述的腔,其中該第二熱源 是一賴射加熱器、一傳導熱源、一電阻加熱器、一電感加 熱器和一微波加熱器中的一者。 3、 如申請專利範圍第1項所述的腔,其中還包含一環 形熱探針,配置以測量該邊緣環的熱特性。 4、 如申請專利範圍第3項所述的腔,其中該環形熱探 針是一高溫計。 5、 如申請專利範圍第1項所述的腔,其中該第一和第 二熱源配置以加熱該腔空間的一輻射熱源的可獨立控制區 18 1380372 域0 /〇(年7片日if正替換頁| 6、 如申清專利範圍第1項所述的腔,更包含一氣體喷 嘴,其配置以向該邊緣環導引一冷卻氣體》 7、 如申請專利範圍第1項所述的腔,其中該第一和第 二熱源設置在該邊緣環的相對側上。 8、 如申諳專利範圍第1項所述的腔,其中該第一和第 二熱源設置在該邊緣環的相同側上。 9、 一種快速熱處理室,包含: 一腔體,其限定一腔空間,其中該腔體包含一石英窗; 一溫度受控邊緣環,其設置在該腔空間申,其中該溫 度受控邊緣環配置以支撐該基板,該基板在該基板的周邊 被處理,且在該基板周邊附近熱耦接一被處理之基板; 一第一熱源,配置以主要加熱該基板的一表面,其中 該第一熱源設置於該腔空間外的該石英窗上;以及 一第二熱源,配置以主要加熱該溫度受控邊緣環。 10、 如申請專利範圍第9項所述的快速熱處理室,其 中還包含一環形熱探針,其配置以測量該溫度受控邊緣環 的熱特性。 19 1380372 片修正替換頁 11、 如申請專利範圍第10項所述的快速熱處理室,其 中還包含一冷卻裝置,其配置以冷卻該溫度受控邊緣環。 12、 如申請專利範圍第9項所述的快速熱處理室,其 中該第一和第二熱源是一燈组件的獨立可控區域,該燈組 件配置以輻射性加熱該腔空間。 13、 如申請專利範圍第9項所述的快速熱處理室,其 中該第一熱源和第二熱源設置在該溫度受控邊緣環的相對 側上。 14、 如申請專利範圍第9項所述的快速熱處理室,其 中該第二熱源是一輻射加熱器、一傳導熱源.、一電阻加熱 器、一電感加熱器和一微波加熱器中的一者。 15、 一種用於均勻加熱一基板到一目標溫度的方法, 包含: 在與一第一熱源相連接的一處理室中設置該基板; 熱連接該基板的周邊到一邊緣環; 用該第一熱源加熱該基板的表面;以及 保持該邊緣環在與該目標溫度不同的一環溫度。 16、 如申請專利範圍第15項所述的方法,其中保持該 邊緣環在該環溫度係包含用一第二熱源加熱該邊緣環,其 20 1380372 修正替 中該第一和第二熱源是獨立可控的 17、 如申請專利範圍第16項所述的方法,其中該第一 和第二熱源是一燈组件的獨立可控區域。 18、 如申請專利範圍第16項所述的方法,更包含使用 一淨化氣體冷卻該邊緣環。 19、如申請專利範圍第16項所述的方法,更包含: 使用一熱探針測量該邊緣環的一溫度;以及 根據由該熱探針測得的該邊緣環溫度來調節該第二熱 2 〇、如申請專利範圍第1 5項所述的方法,其中該環溫 度和該目標溫度相差大約1 0°C到大約1 5°C。 2 1、如申請專利範圍第1 5項所述的方法,其中該環溫 度高於該目標溫度。 22、如申請專利範圍第15項所述的方法,其中該環溫 度低於該目標溫度。 21 1380372 ?;/年释正替换頁
v-·1380372 γ年日修正替換頁j
TW097101551A 2007-01-15 2008-01-15 Temperature measurement and control of wafer support in thermal processing chamber TWI380372B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/623,238 US7860379B2 (en) 2007-01-15 2007-01-15 Temperature measurement and control of wafer support in thermal processing chamber

Publications (2)

Publication Number Publication Date
TW200845222A TW200845222A (en) 2008-11-16
TWI380372B true TWI380372B (en) 2012-12-21

Family

ID=39231046

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097101551A TWI380372B (en) 2007-01-15 2008-01-15 Temperature measurement and control of wafer support in thermal processing chamber

Country Status (6)

Country Link
US (2) US7860379B2 (zh)
EP (1) EP1944793A3 (zh)
JP (1) JP2008182228A (zh)
KR (1) KR100978975B1 (zh)
CN (1) CN101231941A (zh)
TW (1) TWI380372B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730709B (zh) * 2019-11-26 2021-06-11 旺矽科技股份有限公司 用於發光晶片之加熱點測設備

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
JP2008243937A (ja) * 2007-03-26 2008-10-09 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR101892467B1 (ko) * 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
JP4941422B2 (ja) 2008-07-14 2012-05-30 パナソニック株式会社 部品実装システム
WO2010036707A2 (en) * 2008-09-26 2010-04-01 Lam Research Corporation Adjustable thermal contact between an electrostatic chuck and a hot edge ring by clocking a coupling ring
TWI421945B (zh) * 2008-11-06 2014-01-01 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR100954859B1 (ko) 2009-07-01 2010-04-28 (주)앤피에스 온도 측정 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR100990747B1 (ko) * 2009-11-19 2010-10-29 (주)앤피에스 기판 처리 장치
EP2517268B1 (en) * 2009-12-23 2018-07-04 Heraeus Noblelight Fusion UV Inc. Uv led based lamp for compact uv curing lamp assemblies
JP2013542549A (ja) * 2010-05-07 2013-11-21 プレスコ アイピー エルエルシー コーナキューブによる照射制御
US20110291022A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies, Inc. Post Implant Wafer Heating Using Light
US9570328B2 (en) * 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
US8693856B2 (en) * 2010-09-03 2014-04-08 Kla-Tencor Corporation Apparatus and methods for vacuum-compatible substrate thermal management
JP5517354B2 (ja) * 2010-09-24 2014-06-11 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハの熱処理方法
KR101117459B1 (ko) 2010-10-11 2012-03-07 주식회사 테스 기판처리장치의 히터 가열장치
CN102487101A (zh) * 2010-12-02 2012-06-06 理想能源设备有限公司 预处理装置及其预处理方法
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103858214B (zh) 2011-11-03 2017-02-22 应用材料公司 快速热处理腔室
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US9330949B2 (en) * 2012-03-27 2016-05-03 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiating substrate with flash of light
US10202707B2 (en) 2012-04-26 2019-02-12 Applied Materials, Inc. Substrate processing system with lamphead having temperature management
WO2013181263A1 (en) * 2012-05-30 2013-12-05 Applied Materials, Inc. Apparatus and methods for rapid thermal processing
CN102745889B (zh) * 2012-07-03 2015-02-25 深圳市华星光电技术有限公司 对玻璃基板进行烤焙处理的装置及方法
US9206065B2 (en) 2012-07-03 2015-12-08 Shenzhen China Star Optoelectronics Technology Co., Ltd. Apparatus and method for baking glass substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
EP2923376A4 (en) * 2012-11-21 2016-06-22 Ev Group Inc ADJUSTMENT DEVICE FOR ADJUSTING AND ASSEMBLING A WATER
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102231596B1 (ko) * 2013-02-06 2021-03-25 어플라이드 머티어리얼스, 인코포레이티드 가스 주입 장치 및 가스 주입 장치를 포함한 기판 프로세스 챔버
CN103258761B (zh) * 2013-05-02 2016-08-10 上海华力微电子有限公司 一种控制晶圆温度的等离子体刻蚀腔室及其方法
KR20160006778A (ko) * 2013-05-10 2016-01-19 어플라이드 머티어리얼스, 인코포레이티드 연성 재료를 이용한 돔 냉각
KR102377903B1 (ko) 2013-11-06 2022-03-23 어플라이드 머티어리얼스, 인코포레이티드 졸 겔 코팅된 지지 링
CN103586230A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 单片清洗装置及其应用方法
US9245768B2 (en) 2013-12-17 2016-01-26 Applied Materials, Inc. Method of improving substrate uniformity during rapid thermal processing
EP3063682A1 (en) * 2013-12-30 2016-09-07 Halliburton Energy Services, Inc. Determining temperature dependence of complex refractive indices of layer materials during fabrication of integrated computational elements
BR112016016264A2 (pt) * 2014-01-17 2017-08-08 Koninklijke Philips Nv Sistema de aquecimento, reator para processamento de pastilha, e método de aquecimento de uma superfície de aquecimento de um objeto até uma temperatura de processamento de ao menos 100 °c
US9338834B2 (en) 2014-01-17 2016-05-10 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for microwave-radiation annealing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6324800B2 (ja) * 2014-05-07 2018-05-16 東京エレクトロン株式会社 成膜方法および成膜装置
CN106463400A (zh) 2014-05-27 2017-02-22 应用材料公司 利用顺应性材料的窗冷却
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN105332059A (zh) * 2014-08-13 2016-02-17 上海和辉光电有限公司 应用于低温多晶硅加热工艺中的加热装置及加热方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6298403B2 (ja) * 2014-12-26 2018-03-20 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハ熱処理用支持治具
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10475674B2 (en) * 2015-03-25 2019-11-12 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and method for manufacturing heat treatment apparatus
US20160282886A1 (en) * 2015-03-27 2016-09-29 Applied Materials, Inc. Upper dome temperature closed loop control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6539568B2 (ja) 2015-11-04 2019-07-03 株式会社Screenホールディングス 熱処理方法および熱処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6847610B2 (ja) 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
TWI766897B (zh) 2016-11-09 2022-06-11 美商東京威力科創Fsi股份有限公司 用於處理一微電子基板的設備及其方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10032661B2 (en) * 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
US10704147B2 (en) * 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10843236B2 (en) 2017-01-27 2020-11-24 Tel Manufacturing And Engineering Of America, Inc. Systems and methods for rotating and translating a substrate in a process chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10571337B2 (en) 2017-05-26 2020-02-25 Applied Materials, Inc. Thermal cooling member with low temperature control
US10281335B2 (en) 2017-05-26 2019-05-07 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
SG10201705708YA (en) 2017-05-26 2018-12-28 Applied Materials Inc Detector for low temperature transmission pyrometry
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP7042158B2 (ja) * 2018-05-23 2022-03-25 東京エレクトロン株式会社 検査装置及び温度制御方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11545387B2 (en) * 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7198434B2 (ja) * 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210381101A1 (en) * 2020-06-03 2021-12-09 Applied Materials, Inc. Substrate processing system
JP7470580B2 (ja) * 2020-06-22 2024-04-18 東京エレクトロン株式会社 加熱装置、基板処理システム及び加熱方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230334201A1 (en) * 2022-04-18 2023-10-19 Applied Materials, Inc. Machine learning model based controller for rapid thermal processing chamber

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5755511A (en) * 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JPH0917741A (ja) * 1995-06-27 1997-01-17 Hitachi Ltd 熱処理装置および熱処理方法
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
JP5049443B2 (ja) * 2000-04-20 2012-10-17 東京エレクトロン株式会社 熱処理システム
US6566630B2 (en) * 2000-04-21 2003-05-20 Tokyo Electron Limited Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3860404B2 (ja) 2000-09-28 2006-12-20 大日本スクリーン製造株式会社 熱処理装置
US6350964B1 (en) 2000-11-09 2002-02-26 Applied Materials, Inc. Power distribution printed circuit board for a semiconductor processing system
JP2002164300A (ja) * 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
JP2002353158A (ja) * 2001-05-29 2002-12-06 Dainippon Screen Mfg Co Ltd 基板の熱処理装置
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
AU2003298514A1 (en) * 2002-05-17 2004-05-04 Eisai Co., Ltd. Methods and compositions using cholinesterase inhibitors
US7022192B2 (en) * 2002-09-04 2006-04-04 Tokyo Electron Limited Semiconductor wafer susceptor
US6803297B2 (en) * 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
JP2004134631A (ja) * 2002-10-11 2004-04-30 Matsushita Electric Ind Co Ltd ランプ熱処理装置
FR2846786B1 (fr) 2002-11-05 2005-06-17 Procede de recuit thermique rapide de tranches a couronne
US6927169B2 (en) * 2002-12-19 2005-08-09 Applied Materials Inc. Method and apparatus to improve thickness uniformity of surfaces for integrated device manufacturing
US6916744B2 (en) * 2002-12-19 2005-07-12 Applied Materials, Inc. Method and apparatus for planarization of a material by growing a sacrificial film with customized thickness profile
US7127367B2 (en) * 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
TWI366234B (en) 2004-06-30 2012-06-11 Intel Corp Method, apparatus and system to control temperature of a wafer edge or wafer edge support during heating, and machine-readable medium having data therein
US20060286807A1 (en) * 2005-06-16 2006-12-21 Jack Hwang Use of active temperature control to provide emmisivity independent wafer temperature
JP2006093302A (ja) * 2004-09-22 2006-04-06 Fujitsu Ltd 急速熱処理装置及び半導体装置の製造方法
KR100664925B1 (ko) * 2004-09-24 2007-01-04 삼성전자주식회사 다중 기기를 제어하는 통합 원격 제어 장치 및 방법
US7509035B2 (en) * 2004-09-27 2009-03-24 Applied Materials, Inc. Lamp array for thermal processing exhibiting improved radial uniformity
US7112763B2 (en) 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7700376B2 (en) * 2005-04-06 2010-04-20 Applied Materials, Inc. Edge temperature compensation in thermal processing particularly useful for SOI wafers
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
JP2007227461A (ja) * 2006-02-21 2007-09-06 Shin Etsu Handotai Co Ltd 熱処理装置および熱処理方法
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730709B (zh) * 2019-11-26 2021-06-11 旺矽科技股份有限公司 用於發光晶片之加熱點測設備

Also Published As

Publication number Publication date
US7860379B2 (en) 2010-12-28
EP1944793A3 (en) 2011-11-23
US20080170842A1 (en) 2008-07-17
KR20080067300A (ko) 2008-07-18
KR100978975B1 (ko) 2010-08-30
EP1944793A2 (en) 2008-07-16
US20110089166A1 (en) 2011-04-21
JP2008182228A (ja) 2008-08-07
CN101231941A (zh) 2008-07-30
TW200845222A (en) 2008-11-16

Similar Documents

Publication Publication Date Title
TWI380372B (en) Temperature measurement and control of wafer support in thermal processing chamber
KR101624984B1 (ko) 열처리 챔버에서 웨이퍼 지지부의 온도 측정 및 제어 장치 및 방법
KR101923050B1 (ko) 급속 열 처리를 위한 최소 접촉 에지 링
JP5591223B2 (ja) 回転基板のための非半径方向温度制御のためのシステム
US20060127067A1 (en) Fast heating and cooling wafer handling assembly and method of manufacturing thereof
CN101431005B (zh) 可控的退火方法
US9640412B2 (en) Apparatus and method for enhancing the cool down of radiatively heated substrates
KR101104017B1 (ko) 조절된 온도 균일성
TW201212126A (en) Substrate support for use with multi-zonal heating sources
US20060027165A1 (en) Heated gas box for PECVD applications
TW200818324A (en) Multizone heater for furnace
TW200906208A (en) Substrate heating method and apparatus
JP2009027001A (ja) 光照射式加熱処理装置
JP2006505947A (ja) 強制対流利用型の急速加熱炉
CN100530523C (zh) 修正温度均匀度的方法