JP2008182228A - 熱処理チャンバにおけるウエハ支持体の温度測定及び制御 - Google Patents

熱処理チャンバにおけるウエハ支持体の温度測定及び制御 Download PDF

Info

Publication number
JP2008182228A
JP2008182228A JP2008006093A JP2008006093A JP2008182228A JP 2008182228 A JP2008182228 A JP 2008182228A JP 2008006093 A JP2008006093 A JP 2008006093A JP 2008006093 A JP2008006093 A JP 2008006093A JP 2008182228 A JP2008182228 A JP 2008182228A
Authority
JP
Japan
Prior art keywords
substrate
edge ring
heating source
chamber
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008006093A
Other languages
English (en)
Other versions
JP2008182228A5 (ja
Inventor
Aaron Muir Hunter
ムイアー ハンター アーロン
Bruce E Adams
イー. アダムズ ブルース
Mehran Behdjat
ベードジャット メーラン
Rajesh S Ramanujam
エス. ラマヌージャム ラジェッシュ
Joseph M Ranish
エム. ラニッシュ ジョセフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008182228A publication Critical patent/JP2008182228A/ja
Publication of JP2008182228A5 publication Critical patent/JP2008182228A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】 温度均一性を改善するためにRTPに使用される装置及び方法を提供する。
【解決手段】 本発明は、急速加熱処理中に基板に対する均一な加熱を達成する装置及び方法を提供する。より詳細には、本発明は、基板に亘る温度均一性を改善するように急速加熱処理中に基板を支持するエッジリングの温度を制御する装置及び方法を提供する。
【選択図】 図2

Description

発明の背景
発明の分野
[0001]本発明は、一般に、半導体処理の分野に関する。より詳細には、本発明は、半導体基板を熱処理するための方法及び装置に関する。
関連技術の説明
[0002]急速加熱処理(RTP)は、半導体処理中に基板をアニールするための処理である。RTP中に、制御された環境において、約1350℃までの最大温度へと基板を急速加熱するのに、一般的には、熱放射線が使用される。この最大温度は、その処理に依存して、1秒より短い時間から数分までの範囲内の特定の時間中、維持され、それから、基板は、更なる処理のため室温まで冷却される。加熱放射線源としては、高強度タングステンハロゲンランプが普通に使用される。基板は、この基板に伝導的に結合される加熱サセプタによって付加的に加熱することができる。
[0003]半導体製造プロセスは、RTPの幾つかの適用例を有している。そのような適用例としては、熱酸化、高温ソークアニール、低温ソークアニール及びスパイクアニールがある。熱酸化においては、基板は、シリコン基板を酸化させて酸化シリコンを形成させるようにする酸素、オゾン又は酸素及び水素の組合せ体中において加熱される。高温ソークアニールにおいては、基板は、窒素、アンモニア又は酸素のような異なるガスの混合体に対して曝される。低温ソークアニールは、一般的に、金属を堆積させた基板をアニールするのに使用される。スパイクアニールは、基板が非常に短い時間の間高温に曝されることが必要なときに使用される。スパイクアニール中には、基板は、ドーパントを活性化するに十分な最大温度まで急速に加熱され、そのドーパントが実質的に拡散する前にその活性化処理を終了させるように急速に冷却される。
[0004]RTPは、通常、基板に亘って実質的に均一な温度プロファイルを必要としている。現在技術の処理においては、温度均一性は、背面側の反射表面により熱を基板へ反射させながら前面側で基板を加熱するように構成された、レーザー、ランプアレイのような加熱源を制御することにより改善することができる。基板に亘る温度勾配を改善するのに、放射率測定及び補償法が使用されている。
[0005]半導体工業の発展につれて、RTP中の温度均一性に対する要求も増大してきている。ある処理においては、基板のエッジ部の内側約2mmから実質的に小さな温度勾配とすることが重要である。特に、約1℃から1.5℃までの温度偏差でもって約200℃から約1350℃までの間の温度に基板を加熱することが必要である場合がある。現在のRTPシステムでは、このような均一性を達成するのは、特に、基板のエッジ部の近くにおいてこのような均一性を達成するのは、難しい。あるRTPシステムでは、基板を周辺近くで支持するのに、通常、エッジリングが使用されている。このエッジリングと基板とが重なり合い、基板のエッジ部近くに複雑な加熱状態を作り出す。1つの態様では、基板は、そのエッジ部近くで異なる熱特性を有することがある。これは、パターニングした基板又はシリコン・オン・インシュレータ(SOI)基板の場合には、たいていそうである。別の態様では、基板とエッジリングとがそのエッジ部近くで重なり、基板のみの温度を測定し調整するのでは、そのエッジ部近くで均一な温度プロファイルを達成することは難しい。基板の熱及び光特性に対するエッジリングの熱特性に依存して、基板の温度プロファイルは、一般的に、エッジ部で高いか、エッジ部で低いかである。
[0006]図1は、RTPチャンバにおいて処理される基板の通常の温度プロファイルの2つのタイプを概略的に例示している。垂直軸は、基板上の測定温度を示している。水平軸は、基板のエッジ部からの距離を示している。プロファイル1は、基板のエッジ部が最も高い温度測定値を有するようなエッジ部高プロファイルである。プロファイル2は、基板のエッジ部が最も低い温度測定値を有するようなエッジ部低プロファイルである。現在のRTPシステムにおいて基板のエッジ部近くでの温度偏差を除去することは難しい。
[0007]従って、温度均一性を改善するためにRTPにおいて使用される装置及び方法が必要とされている。
発明の概要
[0008]本発明は、一般的に、急速加熱処理中に基板への均一加熱を達成するための装置及び方法を提供する。
[0009]本発明の1つの実施形態によれば、基板を処理するためのチャンバであって、処理空間を画成するチャンバ包囲体と、上記処理空間に配設された基板支持体と、上記基板支持体上に配設され、上記基板の周辺において上記基板を支持するように構成されたエッジリングと、上記基板を加熱するように構成された第1の加熱源と、上記エッジリングを加熱するように構成された第2の加熱源とを備え、上記第2の加熱源は、上記第1の加熱源とは独立して制御されるようなチャンバが提供される。
[0010]本発明の別の実施形態によれば、チャンバ空間を画成するチャンバ本体と、上記チャンバ本体に配設され、処理すべき基板とその基板の周辺近くにおいて熱的に結合されるように構成された温度制御エッジリングと、上記基板の表面を主として加熱するように構成された第1の加熱源と、上記温度制御エッジリングを主として加熱するように構成された第2の加熱源とを備える急速加熱処理チャンバが提供される。
[0011]本発明の更に別の実施形態によれば、基板をターゲット温度まで均一に加熱するための方法であって、第1の加熱源に接続された処理チャンバに上記基板を配置するステップと、上記基板の周辺をエッジリングに熱的に結合するステップと、上記第1の加熱源でもって上記基板の表面を加熱するステップと、上記エッジリングを上記ターゲット温度とは異なるリング温度に維持するステップとを備えた方法が提供される。
[0012]本発明の前述した特徴を詳細に理解できるように、概要を上述したような本発明について、幾つかが図面に例示されているような実施形態に関連して、以下により特定して説明する。しかしながら、図面は、本発明の典型的な実施形態のみを例示するもので、本発明の範囲をこれらに限定しようとするものではなく、本発明は、同様の効果を発揮する他の実施形態も包含し得ることに注意されたい。
詳細な説明
[0017]本発明は、急速加熱処理中に基板に対する均一な加熱を達成するための装置及び方法を提供する。より詳細には、本発明は、基板に亘る温度均一性を改善するため、急速加熱処理中に基板を支持するエッジリングの温度を制御するための装置及び方法を提供する。
[0018]図2は、本発明の1つの実施形態による急速加熱処理システム10の断面図を概略的に例示している。この急速加熱処理システム10は、ディスク形状基板12をアニールするように構成された処理空間14を画成するチャンバ本体35を備える。このチャンバ本体35は、ステンレス鋼で形成することができ、また、石英で裏打ちすることもできる。処理空間14は、急速加熱処理システム10の石英ウインドー18上に配設された加熱アセンブリ16により輻射加熱されるように構成されている。1つの実施形態では、石英ウインドー18は、水冷却される。
[0019]チャンバ本体35の側部にスリット弁30が形成され、処理空間14への基板12の通路を与えることができる。処理ガス、パージガス及び/又は洗浄ガスを処理空間14へ与えるため、ガスインレット44をガス源45に接続することができる。処理空間14を排気するため、アウトレット54を通して処理空間14へ真空ポンプ55を流体接続することができる。
[0020]チャンバ本体35の底部近くに円形チャネル22が形成されている。この円形チャネル22に磁気ローター21が配設されている。この磁気ローター21上に管状ライザー39が載っており、又は、別の仕方で結合されている。基板12は、管状ライザー39上に配設されたエッジリング20により、周辺エッジ部で支持されている。磁気ローター21の外側に磁気ステーター23が配設されており、この磁気ステーター23は、チャンバ本体35を通して磁気ローター21に磁気的に結合され、磁気ローター21を回転させるので、エッジリング20及びそこに支持された基板12を回転させる。磁気ステーター23は、磁気ローター21の高さを調整し、処理すべき基板12を持ち上げ移動できるように構成することもできる。磁気回転及び浮揚についての更なる情報は、ここに援用する米国特許第6,800,833号明細書において入手することができる。
[0021]チャンバ本体35は、基板12の背面側近くにリフレクタープレート27を含むことができる。このリフレクタープレート27は、基板12の放射率を高めるため、基板12の背面側に面した光反射表面28を有する。1つの実施形態では、リフレクタープレート27は、水冷却される。反射表面28及び基板12の背面側は、反射空胴15を画成している。1つの実施形態では、リフレクタープレート27は、処理すべき基板12の直径より僅かに大きな直径を有する。例えば、もし、急速加熱処理システム10が12インチの基板を処理するように構成されている場合には、このリフレクタープレート27の直径は、約13インチでよい。
[0022]パージガス源46に接続されたパージガスインレット48を通して、リフレクタープレート27へパージガスを与えることができる。リフレクタープレート27に対して放出されるパージガスは、特に、熱が基板12へ反射されないような開口25の近くにおいてリフレクタープレート27の冷却を助成する。
[0023]1つの実施形態では、反射空胴15を処理空間14から分離するため、チャンバ本体35とエッジリング20との間に外側リング19が結合される。反射空胴15と処理空間14とは、異なる環境を有することができる。
[0024]加熱アセンブリ16は、加熱素子37のアレイを備えることができる。加熱素子37のアレイは、UVランプ、ハロゲンランプ、レーザーダイオード、抵抗ヒーター、マイクロ波ヒーター、発光ダイオード(LED)又は他の適当な加熱素子を単独で又はそれらを組み合わせて成るものでよい。この加熱素子37のアレイは、リフレクター本体53に形成された垂直孔に配設することができる。1つの実施形態では、加熱素子37は、六角形パターンにおいて配列される。リフレクター本体53には、冷却チャネル40を形成することができる。水のような冷却材が、インレット41からリフレクター本体53に入れられ、垂直孔に隣接して進んで加熱素子37のアレイを冷却し、出口42からリフレクター本体を出て行く。
[0025]加熱素子37のアレイは、この加熱素子37のアレイの加熱効果を調整することのできるコントローラ52に接続されている。1つの実施形態では、加熱素子37のアレイは、複数の同心ゾーンにより基板12を加熱するため、複数の加熱グループへと分割することができる。各加熱グループは、基板12の半径方向に亘る望ましい温度プロファイルを与えるように、別々に独立して制御される。このような加熱アセンブリ16については、ここに援用する米国特許第6,350,964号及び第6,927,169号明細書に詳細に開示されている。
[0026]1つの実施形態では、主としてエッジリング20を加熱するように構成されたエッジリング加熱アセンブリ38は、加熱素子37のアレイの外側に配設される。このエッジリング加熱アセンブリ38は、このエッジリング加熱アセンブリ38の加熱電力を調整するコントローラ52に接続される。このエッジリング加熱アセンブリ38は、加熱素子37のアレイとは別に独立して制御することができ、従って、基板12の温度とは別に独立してエッジリング20の温度を制御することができる。1つの実施形態では、エッジリング加熱アセンブリ38は、加熱素子37のアレイの加熱グループのうちの1つであってもよい。
[0027]急速加熱処理システム10は、更に、異なる半径方向位置における基板12の熱特性を測定するように構成された複数の熱プローブ24を備える。1つの実施形態では、この複数の熱プローブ24は、基板12の異なる半径部分の温度又はその他の熱特性を検出するため、リフレクタープレート27に形成された複数の開口25に配設され光学的に結合された複数の高温計であってよい。同様の温度プローブについては、ここに援用する米国特許第5,755,511号明細書に詳細に開示されている。これら複数の温度プローブ24は、加熱素子37のアレイへの電力供給を調整して基板12に亘る望む通りに調整された半径方向熱プロファイルを与えるようにする閉ループ制御を行うことのできるコントローラ52に接続されている。
[0028]急速加熱処理システム10は、更に、エッジリング20の近くのリフレクタープレート27の開口32に配設され結合されたエッジリング熱プローブ31を備える。このエッジリング熱プローブ31は、エッジリング20の温度又はその他の熱特性を測定するように構成された高温計であってよい。このエッジリング熱プローブ31は、エッジリング加熱アセンブリ38に接続されるコントローラ52に接続される。コントローラ52は、エッジリング熱プローブ31からの測定値を使用してエッジリング加熱アセンブリ38に対する閉ループ制御を行うことができる。1つの実施形態では、エッジリング20は、熱処理中に基板12とは独立して望ましい温度まで加熱される。
[0029]エッジリング20を冷却するため、ガスジェット47を、エッジリング20の近くに配設することができる。1つの実施形態では、このガスジェット47は、同じパージガス源46をパージガスインレットと共用することができる。このガスジェット47は、エッジリング20へ向けられ、ヘリウムのような冷却ガスを放出して、エッジリング20を冷却することができる。ガスジェット47は、コントローラ52により制御される弁49を通してガス源46に接続することもできる。従って、コントローラ52は、エッジリング20の閉ループ温度制御において、ガスジェット47の冷却効果の制御を行うこともできる。
[0030]エッジリング20は、基板温度プロファイルを改善するように、処理すべき基板の熱特性に従った熱質量、放射率及び吸収率のような熱特性を有するように設計することができる。エッジリング20の熱特性は、異なる材料、異なる厚さ及び異なるコーティングを選択することにより、変更することができる。エッジリング設計については、ここに援用する米国特許第7,127,367号明細書(代理人事件番号第008504号)に詳細に開示されている。1つの実施形態では、エッジリング20は、ニッケルコーティングを有するシリコンで形成することができる。
[0031]急速加熱処理中に、基板12は、スリット弁30を通して処理空間14へと移送され、エッジリング20により支持される。磁気ローター21は、基板12を回転させ、また、基板12を望ましい高さ位置に配置することができる。種々な処理のうちのほとんどにおいて、基板12は、ターゲット温度まで均一に急速に加熱されるべきである。本発明の1つの実施形態では、基板12への熱移送は、主として、加熱素子37のアレイの輻射及び望ましい温度に加熱されたエッジリング20からの伝導及び/又は輻射によるものである。基板12に亘る均一な温度プロファイルは、加熱素子37のアレイを制御し且つ基板12のためのターゲット温度とは通常異なる望ましい温度にエッジリング20を維持することにより達成することができる。
[0032]加熱素子37のアレイの制御は、複数の熱プローブ24を使用して基板12の半径方向に亘る温度を測定することによって行うことができる。1つの実施形態では、複数の熱プローブ24は、基板12の半径に対応してリフレクタープレート27に亘って均等に分布配列されている。複数の熱プローブ24からの測定された温度は、コントローラ52によってサンプリングされる。コントローラ52は、それら測定温度を使用して、基板12の半径方向に亘る温度が均一となるように、加熱素子37のアレイを調整することができる。1つの実施形態では、コントローラ52は、複数の同心ゾーンで加熱素子37のアレイを調整することができる。このような複数のゾーンでの加熱素子の制御については、米国特許第5,755,511号明細書及び米国特許出願公開第2006/0066193号として公開された米国特許出願第11/195,395号(代理人事件番号第009150号)明細書に詳細に開示されており、これら明細書は、ここに援用される。
[0033]1つの実施形態では、エッジリング20と基板12の周辺との間の熱交換は、基板12のエッジ部近くの温度プロファイルを調整するのに使用される。熱交換は、基板12とエッジリング20との間の伝導及び/又は輻射によって達成される。1つの実施形態では、熱交換は、基板12のターゲット温度とは異なる温度までエッジリング20を加熱することによって行われる。
[0034]1つの実施形態では、エッジリング20のための望ましい温度は、処理要件及びエッジリング20と基板12との両者の熱特性に従って予め決定することができる。1つの実施形態では、エッジリング20のこの予め決定される望ましい温度は、基板12のターゲット温度とは約10℃から約15℃異なる温度である。1つの実施形態では、エッジリング20のこの予め決定される望ましい温度は、基板12のターゲット温度よりも高い。別の実施形態では、エッジリング20のこの予め決定される望ましい温度は、基板12のターゲット温度より低い。この望ましいエッジリング温度は、いずれかの熱移送モデリング(伝導、輻射、対流)によって決定することができ、又は、実験結果から決定することができる。いずれの場合においても、基板及び/又はエッジリングの光学的特性を変化させて、ウエハの温度均一性を測定する。これらの実験の結果に基づいて、基板上の均一性が最良となるようにするためのエッジリングに対する望ましい温度プロファイルを予測する。
[0035]別の実施形態では、エッジリング20の望ましい温度は、基板12及びエッジリング20のその場の熱測定に従って動的に調整できるものとしてもよい。
[0036]エッジリング20の加熱は、主として、エッジリング加熱アセンブリ38を使用して行うことができる。閉ループ制御は、コントローラ52によりエッジリング熱プローブ31の測定に基づいてエッジリング20の温度を調整することにより行うことができる。エッジリング20の温度の調整は、エッジリング加熱アセンブリ38の電力供給及び/又はガスジェット47からの冷却ガスの流量を調整することにより行うことができる。1つの実施形態では、エッジリング20は、過熱してから、ガスジェット47からの冷却ガスで望ましい温度まで冷却するようにしてもよい。
[0037]本発明の1つの実施形態では、ガスジェット47のための冷却ガスは、1つの基板を処理した後に次の基板を入れる前に、約100℃と約200℃との間までエッジリング20を冷却するのに使用することができる。
[0038]本発明の方法によれば、スパイクアニールの場合において3シグマ法において2℃より低い温度均一性を与えることができる。本発明の方法によれば、1350℃までの高温ソークアニールの場合に3シグマ法において1℃より低い温度均一性が与えられる。1つの実施形態では、本発明の方法は、約1℃から約1.5℃までの温度偏差でもって約200℃から約1350℃までの間の温度に基板を加熱することができる。
[0039]急速加熱処理システム10のエッジリング加熱素子38は、複数の加熱ランプとして例示されている。本発明の急速加熱処理システムにおいては、例えば、固体ヒーター(即ち、LED)、レーザーヒーター、誘導ヒーター、マイクロ波ヒーター、電気ヒーター(例えば、抵抗加熱)のような他の適当な加熱装置を使用することができる。
[0040]更に又、エッジリング加熱素子は、エッジリングの下とか、エッジリングの側部とかのような他の適当な場所に配置することができる。図3は、エッジリング20の下方にエッジリング加熱素子51を配置した急速加熱処理システム100の断面図を概略的に例示している。
[0041]別の実施形態では、熱処理中に基板を背面側から加熱することができる。典型的な背面側加熱チャンバは、前面側(形成処理側)を上に向けた状態で基板をその周辺で支持するようなエッジリングを備えることができる。基板を背面側から加熱できるように、加熱素子37と同様の加熱素子のアレイをエッジリングの下に配置することができる。熱処理中に基板の温度プロファイルを測定するため、エッジリングの上方で基板の半径方向に亘って複数のプローブを配置することができる。エッジリングを独立して加熱する専用のエッジリングヒーターを、エッジリングの近く、エッジリングの下、エッジリングの上方、又はエッジリングの側部に配置することができる。エッジリングの温度を測定するように構成されたエッジリングプローブをエッジリングに隣接配置することができる。基板の温度プロファイル及びエッジリングの温度をその場で制御するため、コントローラを、エッジリングヒーター、エッジリングプローブ、加熱素子のアレイ及び複数のプローブに接続することができる。
[0042]図4は、本発明の1つの実施形態によるエッジリング110の断面図を概略的に例示している。このエッジリング110は、基板12を支持するように構成された傾斜リップ111を有する。このエッジリング110は、基板12との接触面積を減少させるように設計されている。基板12とエッジリング110との間の接触面積を減少させることにより、基板12のエッジ部近くの加熱条件が簡単化され、エッジ部高/低効果を減少させることができる。更に又、接触面積を減少させると、処理チャンバにおける異物粒子汚染も減少される。
[0043]基板に亘る均一な温度プロファイルを達成することに関して特に前述してきたのであるが、本発明の装置及び方法を使用して、非均一温度プロファイルを達成することもできるであろう。
[0044]本発明の種々な実施形態について前述したのであるが、本発明の基本的な範囲から逸脱せずに、本発明の他の更に別の実施形態を考えることができるものであり、本発明の範囲は、特許請求の範囲により決定されるものである。
RTPチャンバにおいて処理される基板の通常の温度プロファイルの2つのタイプを概略的に例示している。 本発明の1つの実施形態による急速加熱処理システムの断面図を概略的に例示している。 本発明の別の実施形態による急速加熱処理システムの断面図を概略的に例示している。 本発明の1つの実施形態によるエッジリングの断面図を概略的に例示している。
符号の説明
1…プロファイル、10…処理システム、12…基板、14…処理空間、15…反射空胴、16…加熱アセンブリ、18…石英ウインドー、19…外側リング、20…エッジリング、21…磁気ローター、22…円形チャネル、23…磁気ステーター、24…温度プローブ、25…開口、27…リフレクタープレート、28…反射表面、30…スリット弁、31…温度プローブ、32…開口、35…チャンバ本体、37…加熱素子、38…加熱アセンブリ、39…管状ライザー、40…冷却チャネル、41…インレット、42…出口、44…ガスインレット、45…ガス源、46…ガス源、47…ガスジェット、48…ガスインレット、49…弁、51…加熱素子、52…コントローラ、53…リフレクター本体、55…真空ポンプ、54…アウトレット、110…エッジリング、111…傾斜リップ

Claims (22)

  1. 基板を処理するためのチャンバにおいて、
    処理空間を画成するチャンバ包囲体と、
    上記処理空間に配設された基板支持体と、
    上記基板支持体に配設され、上記基板の周辺で上記基板を支持するように構成されたエッジリングと、
    上記基板を加熱するように構成された第1の加熱源と、
    上記エッジリングを加熱するように構成され、上記第1の加熱源とは独立して制御できる第2の加熱源と、
    を備えるチャンバ。
  2. 上記第2の加熱源は、輻射ヒーター、伝導加熱源、抵抗ヒーター、誘導ヒーター及びマイクロ波ヒーターのうちの1つである、請求項1に記載のチャンバ。
  3. 上記エッジリングの熱特性を測定するように構成されたリング熱プローブを更に備える、請求項1に記載のチャンバ。
  4. 上記リング熱プローブは、高温計である、請求項3に記載のチャンバ。
  5. 上記第1の加熱源及び第2の加熱源は、上記チャンバ空間を加熱するように構成された輻射加熱源の独立制御可能なゾーンである、請求項1に記載のチャンバ。
  6. 上記エッジリングの方へ冷却ガスを向けるように構成されたガスジェットを更に備える、請求項1に記載のチャンバ。
  7. 上記第1の加熱源及び第2の加熱源は、上記エッジリングの互いに反対の側に配設される、請求項1に記載のチャンバ。
  8. 上記第1の加熱源及び第2の加熱源は、上記エッジリングの同じ側に配設される、請求項1に記載のチャンバ。
  9. チャンバ空間を画成するチャンバ本体と、
    上記チャンバ空間に配設され、処理すべき基板と上記基板の周辺近くで熱的に結合されるように構成された温度制御エッジリングと、
    上記基板の表面を主として加熱するように構成された第1の加熱源と、
    上記温度制御エッジリングを主として加熱するように構成された第2の加熱源と、
    を備える急速加熱処理チャンバ。
  10. 上記温度制御エッジリングの熱特性を測定するように構成されたリング熱プローブを更に備える、請求項9に記載の急速加熱処理チャンバ。
  11. 上記温度制御エッジリングを冷却するように構成された冷却装置を更に備える、請求項10に記載の急速加熱処理チャンバ。
  12. 上記第1の加熱源及び第2の加熱源は、上記チャンバ空間を輻射加熱するように構成されたランプアセンブリの独立制御可能なゾーンである、請求項9に記載の急速加熱処理チャンバ。
  13. 上記第1の加熱源及び第2の加熱源は、上記温度制御エッジリングの互いに反対の側に配設される、請求項9に記載の急速加熱処理チャンバ。
  14. 上記第2の加熱源は、輻射ヒーター、伝導加熱源、抵抗ヒーター、誘導ヒーター及びマイクロ波ヒーターのうちの1つである、請求項9に記載の急速加熱処理チャンバ。
  15. ターゲット温度へと基板を均一に加熱するための方法において、
    第1の加熱源に接続された処理チャンバに上記基板を配置するステップと、
    上記基板の周辺をエッジリングに熱的に結合するステップと、
    上記第1の加熱源で上記基板の表面を加熱するステップと、
    上記ターゲット温度とは異なるリング温度に上記エッジリングを維持するステップと、
    を含む方法。
  16. 上記リング温度に上記エッジリングを維持するステップは、第2の加熱源で上記エッジリングを加熱する段階を含み、上記第1の加熱源及び第2の加熱源は、独立制御可能なものである、請求項14に記載の方法。
  17. 上記第1の加熱源及び第2の加熱源は、ランプアセンブリの独立制御可能なゾーンである、請求項16に記載の方法。
  18. パージガスを使用して上記エッジリングを冷却するステップを更に含む、請求項16に記載の方法。
  19. 熱プローブを使用して上記エッジリングの温度を測定するステップと、
    上記熱プローブによって測定された上記エッジリングの温度に従って上記第2の加熱源を調整するステップと、
    を更に含む、請求項16に記載の方法。
  20. 上記リング温度は、上記ターゲット温度とは約10℃から約15℃異なる、請求項14に記載の方法。
  21. 上記リング温度は、上記ターゲット温度より高い、請求項14に記載の方法。
  22. 上記リング温度は、上記ターゲット温度より低い、請求項14に記載の方法。
JP2008006093A 2007-01-15 2008-01-15 熱処理チャンバにおけるウエハ支持体の温度測定及び制御 Pending JP2008182228A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/623,238 US7860379B2 (en) 2007-01-15 2007-01-15 Temperature measurement and control of wafer support in thermal processing chamber

Publications (2)

Publication Number Publication Date
JP2008182228A true JP2008182228A (ja) 2008-08-07
JP2008182228A5 JP2008182228A5 (ja) 2011-02-24

Family

ID=39231046

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008006093A Pending JP2008182228A (ja) 2007-01-15 2008-01-15 熱処理チャンバにおけるウエハ支持体の温度測定及び制御

Country Status (6)

Country Link
US (2) US7860379B2 (ja)
EP (1) EP1944793A3 (ja)
JP (1) JP2008182228A (ja)
KR (1) KR100978975B1 (ja)
CN (1) CN101231941A (ja)
TW (1) TWI380372B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100954859B1 (ko) 2009-07-01 2010-04-28 (주)앤피에스 온도 측정 장치
KR100990747B1 (ko) * 2009-11-19 2010-10-29 (주)앤피에스 기판 처리 장치
DE112009001729T5 (de) 2008-07-14 2011-05-26 Panasonic Corporation, Kadoma-shi Bauteileinbausystem
JP2012503888A (ja) * 2008-09-26 2012-02-09 ラム リサーチ コーポレーション 結合リングをクロック回転させることによって調整可能な静電チャックとホットエッジリングとの間の熱的接触
KR101117459B1 (ko) 2010-10-11 2012-03-07 주식회사 테스 기판처리장치의 히터 가열장치
JP2012069774A (ja) * 2010-09-24 2012-04-05 Covalent Materials Corp シリコンウェーハの熱処理方法
JP2016127032A (ja) * 2014-12-26 2016-07-11 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハ熱処理用支持治具
JP2017509143A (ja) * 2014-01-17 2017-03-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 半導体光源を有する加熱システム
JP2018046141A (ja) * 2016-09-14 2018-03-22 株式会社Screenホールディングス 熱処理装置
WO2018217408A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
KR20190069595A (ko) * 2016-11-09 2019-06-19 티이엘 에프에스아이, 인코포레이티드 공정 챔버에서 마이크로전자 기판을 처리하기 위한 자기적으로 부상되고 회전되는 척
US11458512B2 (en) 2017-01-27 2022-10-04 Tel Manufacturing And Engineering Of America, Inc. Systems and methods for rotating and translating a substrate in a process chamber
US11476129B2 (en) 2016-11-29 2022-10-18 Tel Manufacturing And Engineering Of America, Inc. Translating and rotating chuck for processing microelectronic substrates in a process chamber
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
JP2008243937A (ja) * 2007-03-26 2008-10-09 Tokyo Electron Ltd 基板処理装置及び基板処理方法
EP3573092B1 (en) 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
TWI505370B (zh) * 2008-11-06 2015-10-21 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5955223B2 (ja) * 2009-12-23 2016-07-20 ヘレウス ノーブルライト アメリカ エルエルシー 小型硬化用ランプ組立体用uvledを基礎としたランプ
EP2567393B1 (en) * 2010-05-07 2018-02-14 Pressco IP LLC Corner-cube irradiation control
US20110291022A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies, Inc. Post Implant Wafer Heating Using Light
US9570328B2 (en) * 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
US8693856B2 (en) * 2010-09-03 2014-04-08 Kla-Tencor Corporation Apparatus and methods for vacuum-compatible substrate thermal management
CN102487101A (zh) * 2010-12-02 2012-06-06 理想能源设备有限公司 预处理装置及其预处理方法
US8744250B2 (en) 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8624168B2 (en) * 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
SG2014008270A (en) 2011-11-03 2014-11-27 Applied Materials Inc Rapid thermal processing chamber
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US9330949B2 (en) * 2012-03-27 2016-05-03 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiating substrate with flash of light
US10202707B2 (en) 2012-04-26 2019-02-12 Applied Materials, Inc. Substrate processing system with lamphead having temperature management
CN104428879B (zh) * 2012-05-30 2018-01-30 应用材料公司 用于快速热处理的设备及方法
CN102745889B (zh) * 2012-07-03 2015-02-25 深圳市华星光电技术有限公司 对玻璃基板进行烤焙处理的装置及方法
US9206065B2 (en) 2012-07-03 2015-12-08 Shenzhen China Star Optoelectronics Technology Co., Ltd. Apparatus and method for baking glass substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
EP2923376A4 (en) * 2012-11-21 2016-06-22 Ev Group Inc ADJUSTMENT DEVICE FOR ADJUSTING AND ASSEMBLING A WATER
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014123667A1 (en) * 2013-02-06 2014-08-14 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
CN103258761B (zh) * 2013-05-02 2016-08-10 上海华力微电子有限公司 一种控制晶圆温度的等离子体刻蚀腔室及其方法
SG11201508481PA (en) * 2013-05-10 2015-11-27 Applied Materials Inc Dome cooling using compliant material
WO2015069456A1 (en) 2013-11-06 2015-05-14 Applied Materials, Inc. Sol gel coated support ring
CN103586230A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 单片清洗装置及其应用方法
US9245768B2 (en) 2013-12-17 2016-01-26 Applied Materials, Inc. Method of improving substrate uniformity during rapid thermal processing
WO2015102586A1 (en) * 2013-12-30 2015-07-09 Halliburton Energy Services, Inc. Determining temperature dependence of complex refractive indices of layer materials during fabrication of integrated computational elements
US9338834B2 (en) 2014-01-17 2016-05-10 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for microwave-radiation annealing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6324800B2 (ja) * 2014-05-07 2018-05-16 東京エレクトロン株式会社 成膜方法および成膜装置
CN106463400A (zh) 2014-05-27 2017-02-22 应用材料公司 利用顺应性材料的窗冷却
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN105332059A (zh) * 2014-08-13 2016-02-17 上海和辉光电有限公司 应用于低温多晶硅加热工艺中的加热装置及加热方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10475674B2 (en) * 2015-03-25 2019-11-12 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and method for manufacturing heat treatment apparatus
US20160282886A1 (en) * 2015-03-27 2016-09-29 Applied Materials, Inc. Upper dome temperature closed loop control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6539568B2 (ja) * 2015-11-04 2019-07-03 株式会社Screenホールディングス 熱処理方法および熱処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
US10032661B2 (en) * 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US10704147B2 (en) * 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
SG10201705708YA (en) 2017-05-26 2018-12-28 Applied Materials Inc Detector for low temperature transmission pyrometry
US10571337B2 (en) 2017-05-26 2020-02-25 Applied Materials, Inc. Thermal cooling member with low temperature control
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7042158B2 (ja) * 2018-05-23 2022-03-25 東京エレクトロン株式会社 検査装置及び温度制御方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7198434B2 (ja) * 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
TWI730709B (zh) * 2019-11-26 2021-06-11 旺矽科技股份有限公司 用於發光晶片之加熱點測設備
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210381101A1 (en) * 2020-06-03 2021-12-09 Applied Materials, Inc. Substrate processing system
JP7470580B2 (ja) * 2020-06-22 2024-04-18 東京エレクトロン株式会社 加熱装置、基板処理システム及び加熱方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230334201A1 (en) * 2022-04-18 2023-10-19 Applied Materials, Inc. Machine learning model based controller for rapid thermal processing chamber

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0917741A (ja) * 1995-06-27 1997-01-17 Hitachi Ltd 熱処理装置および熱処理方法
JP2002164300A (ja) * 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
JP2002353158A (ja) * 2001-05-29 2002-12-06 Dainippon Screen Mfg Co Ltd 基板の熱処理装置
JP2004134631A (ja) * 2002-10-11 2004-04-30 Matsushita Electric Ind Co Ltd ランプ熱処理装置
WO2005045905A1 (en) * 2003-10-27 2005-05-19 Applied Materials, Inc. Tailored temperature uniformity
JP2006093302A (ja) * 2004-09-22 2006-04-06 Fujitsu Ltd 急速熱処理装置及び半導体装置の製造方法
US20060286807A1 (en) * 2005-06-16 2006-12-21 Jack Hwang Use of active temperature control to provide emmisivity independent wafer temperature
JP2007227461A (ja) * 2006-02-21 2007-09-06 Shin Etsu Handotai Co Ltd 熱処理装置および熱処理方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6891131B2 (en) * 2000-04-20 2005-05-10 Tokyo Electron Limited Thermal processing system
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
US6566630B2 (en) * 2000-04-21 2003-05-20 Tokyo Electron Limited Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
JP3860404B2 (ja) 2000-09-28 2006-12-20 大日本スクリーン製造株式会社 熱処理装置
US6350964B1 (en) 2000-11-09 2002-02-26 Applied Materials, Inc. Power distribution printed circuit board for a semiconductor processing system
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
WO2004034963A2 (en) * 2002-05-17 2004-04-29 Eisai Co., Ltd. Methods and compositions using cholinesterase inhibitors
US7022192B2 (en) * 2002-09-04 2006-04-04 Tokyo Electron Limited Semiconductor wafer susceptor
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
FR2846786B1 (fr) 2002-11-05 2005-06-17 Procede de recuit thermique rapide de tranches a couronne
US6916744B2 (en) 2002-12-19 2005-07-12 Applied Materials, Inc. Method and apparatus for planarization of a material by growing a sacrificial film with customized thickness profile
US6927169B2 (en) 2002-12-19 2005-08-09 Applied Materials Inc. Method and apparatus to improve thickness uniformity of surfaces for integrated device manufacturing
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
TWI366234B (en) 2004-06-30 2012-06-11 Intel Corp Method, apparatus and system to control temperature of a wafer edge or wafer edge support during heating, and machine-readable medium having data therein
KR100664925B1 (ko) * 2004-09-24 2007-01-04 삼성전자주식회사 다중 기기를 제어하는 통합 원격 제어 장치 및 방법
US7509035B2 (en) 2004-09-27 2009-03-24 Applied Materials, Inc. Lamp array for thermal processing exhibiting improved radial uniformity
US7112763B2 (en) 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7700376B2 (en) 2005-04-06 2010-04-20 Applied Materials, Inc. Edge temperature compensation in thermal processing particularly useful for SOI wafers
US20060240680A1 (en) 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0917741A (ja) * 1995-06-27 1997-01-17 Hitachi Ltd 熱処理装置および熱処理方法
JP2002164300A (ja) * 2000-11-29 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
JP2002353158A (ja) * 2001-05-29 2002-12-06 Dainippon Screen Mfg Co Ltd 基板の熱処理装置
JP2004134631A (ja) * 2002-10-11 2004-04-30 Matsushita Electric Ind Co Ltd ランプ熱処理装置
WO2005045905A1 (en) * 2003-10-27 2005-05-19 Applied Materials, Inc. Tailored temperature uniformity
JP2007523466A (ja) * 2003-10-27 2007-08-16 アプライド マテリアルズ インコーポレイテッド 合わせられた温度の均一性
JP2006093302A (ja) * 2004-09-22 2006-04-06 Fujitsu Ltd 急速熱処理装置及び半導体装置の製造方法
US20060286807A1 (en) * 2005-06-16 2006-12-21 Jack Hwang Use of active temperature control to provide emmisivity independent wafer temperature
JP2007227461A (ja) * 2006-02-21 2007-09-06 Shin Etsu Handotai Co Ltd 熱処理装置および熱処理方法

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112009001729T5 (de) 2008-07-14 2011-05-26 Panasonic Corporation, Kadoma-shi Bauteileinbausystem
JP2012503888A (ja) * 2008-09-26 2012-02-09 ラム リサーチ コーポレーション 結合リングをクロック回転させることによって調整可能な静電チャックとホットエッジリングとの間の熱的接触
KR100954859B1 (ko) 2009-07-01 2010-04-28 (주)앤피에스 온도 측정 장치
KR100990747B1 (ko) * 2009-11-19 2010-10-29 (주)앤피에스 기판 처리 장치
JP2012069774A (ja) * 2010-09-24 2012-04-05 Covalent Materials Corp シリコンウェーハの熱処理方法
KR101117459B1 (ko) 2010-10-11 2012-03-07 주식회사 테스 기판처리장치의 히터 가열장치
JP2017509143A (ja) * 2014-01-17 2017-03-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 半導体光源を有する加熱システム
JP2016127032A (ja) * 2014-12-26 2016-07-11 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハ熱処理用支持治具
JP2018046141A (ja) * 2016-09-14 2018-03-22 株式会社Screenホールディングス 熱処理装置
US11881420B2 (en) 2016-09-14 2024-01-23 SCREEN Holdings Co., Ltd. Light-irradiation thermal treatment apparatus
US10950472B2 (en) 2016-09-14 2021-03-16 SCREEN Holdings Co., Ltd. Light-irradiation thermal treatment apparatus
JP7405921B2 (ja) 2016-11-09 2023-12-26 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド プロセスチャンバ中でマイクロエレクトロニクス基板を処理するための磁気的な浮上および回転するチャック
KR20190069595A (ko) * 2016-11-09 2019-06-19 티이엘 에프에스아이, 인코포레이티드 공정 챔버에서 마이크로전자 기판을 처리하기 위한 자기적으로 부상되고 회전되는 척
JP2019537261A (ja) * 2016-11-09 2019-12-19 ティーイーエル エフエスアイ,インコーポレイティド プロセスチャンバ中でマイクロエレクトロニクス基板を処理するための磁気的な浮上および回転するチャック
JP7297664B2 (ja) 2016-11-09 2023-06-26 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド プロセスチャンバ中でマイクロエレクトロニクス基板を処理するための磁気的な浮上および回転するチャック
KR102518220B1 (ko) * 2016-11-09 2023-04-04 티이엘 매뉴팩처링 앤드 엔지니어링 오브 아메리카, 인크. 공정 챔버에서 마이크로전자 기판을 처리하기 위한 자기적으로 부상되고 회전되는 척
US11476129B2 (en) 2016-11-29 2022-10-18 Tel Manufacturing And Engineering Of America, Inc. Translating and rotating chuck for processing microelectronic substrates in a process chamber
US11458512B2 (en) 2017-01-27 2022-10-04 Tel Manufacturing And Engineering Of America, Inc. Systems and methods for rotating and translating a substrate in a process chamber
US10281335B2 (en) 2017-05-26 2019-05-07 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
WO2018217408A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber

Also Published As

Publication number Publication date
EP1944793A2 (en) 2008-07-16
KR20080067300A (ko) 2008-07-18
TWI380372B (en) 2012-12-21
US20110089166A1 (en) 2011-04-21
TW200845222A (en) 2008-11-16
US20080170842A1 (en) 2008-07-17
EP1944793A3 (en) 2011-11-23
US7860379B2 (en) 2010-12-28
KR100978975B1 (ko) 2010-08-30
CN101231941A (zh) 2008-07-30

Similar Documents

Publication Publication Date Title
JP2008182228A (ja) 熱処理チャンバにおけるウエハ支持体の温度測定及び制御
JP5518043B2 (ja) 熱処理チャンバーでのウェハー支持部の温度測定および制御
US9449858B2 (en) Transparent reflector plate for rapid thermal processing chamber
KR101923050B1 (ko) 급속 열 처리를 위한 최소 접촉 에지 링
JP5511115B2 (ja) 半導体ウェハを熱処理するための方法及び装置
US9640412B2 (en) Apparatus and method for enhancing the cool down of radiatively heated substrates
JP6258334B2 (ja) 改善されたエッジリングリップ
US11337277B2 (en) Circular lamp arrays
TW201923902A (zh) 通過燈具燈絲設計與定位控制燈具紅外輻射輪廓
JP2008288598A (ja) 制御されたアニーリング方法
WO2005045905A1 (en) Tailored temperature uniformity
TWI776859B (zh) 旋轉器蓋
WO2014176174A1 (en) Absorbing lamphead face
TWI838824B (zh) 旋轉器蓋

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110106

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110106

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130517

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130617

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130620

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130924