TW552707B - Method for forming thin semiconductor film, method for fabricating semiconductor device, system for executing these methods and electro optic device - Google Patents

Method for forming thin semiconductor film, method for fabricating semiconductor device, system for executing these methods and electro optic device Download PDF

Info

Publication number
TW552707B
TW552707B TW091101650A TW91101650A TW552707B TW 552707 B TW552707 B TW 552707B TW 091101650 A TW091101650 A TW 091101650A TW 91101650 A TW91101650 A TW 91101650A TW 552707 B TW552707 B TW 552707B
Authority
TW
Taiwan
Prior art keywords
film
aforementioned
polycrystalline
substrate
silicon
Prior art date
Application number
TW091101650A
Other languages
English (en)
Inventor
Hideo Yamanaka
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Application granted granted Critical
Publication of TW552707B publication Critical patent/TW552707B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02678Beam shaping, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02683Continuous wave laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78678Polycrystalline or microcrystalline silicon transistor with inverted-type structure, e.g. with bottom gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Liquid Crystal (AREA)
  • Laser Beam Processing (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Photovoltaic Devices (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)
  • Cold Cathode And The Manufacture (AREA)

Description

552707 A7 B7 五、發明説明(彳) 【發明之技術領域】 本發明係有關以雷射退火在基體上形成多晶矽等多晶性 半導體薄膜之方法及其裝置、在基體上包含其多晶性半導 體薄膜之半導體裝置的製造方法及其裝置、以及電氣光學 裝置。 【先前技術】 先前以多晶矽膜形成金屬氧半導體場效電晶體(MOSFET ;Metal-Oxide-Semiconductor Field Effect Transistor)之如 (薄膜電晶體=薄膜絕緣閘型場效電晶體(MOSTFT))之源 極、汲極及通道區域時,係採S電漿化學汽相沉積(CVD : Chemical Vapor Deposition =化學性汽相生長法)及減壓 CVD法、觸媒CVD法等汽相生長法、固態生長法、液態生 長法、及準分子雷射退火法等。 以電漿CVD法、減壓CVD法等形成之非晶性或微晶矽膜 ,如特開平7· 13 1030號、特開平9-11 6 156號、特公平7-1 18443 號所揭示,僅藉由高溫退火或準分子雷射退火(ELA : Excimer Laser Anneal)處理,希望以多晶石夕膜化改善載體移 動率,然而該方法效果有限,僅能達到約80〜120 cm2/V · sec的載體移動率。 但是,使用以電漿CVD法之非晶係性矽膜之EL A獲得之 多晶矽膜之MOSTFT的電子移動率則約為100 cm2/V · sec ,亦可對應於高精細化,因此,最近使用驅動電路一體型 多晶矽 MOSTFT之液晶顯示器(LCD : Liquid Crystal Display =液晶顯示裝置)深受矚目(參照特開平6-242433號)。準分 -5- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
552707 A7 B7
五、發明説明(2 子雷射退火法係在試劑上照射氣化氙準分子雷射等短波長 、短脈衝雷射,於短時間内熔融晶化的方法,不過仍期待 能以雷射光照射非晶矽膜而不損及玻璃基板之多晶化、高 生產量者。 【發明所欲解決之問題】 但疋,刖述ELA之多晶矽]^1〇81^7的製法由於晶化速度高 達!1“(:,所獲得之結晶粒徑充其量僅約1〇〇11111。因此,縱 使於短波長、短脈衝雷射照射時,將基板溫度加熱至約 400°C ’徹絲去阻礙結晶生長的氫及氧等,來控制凝固速 度的方法,仍難獲得粒徑在5〇孓nm以上的結晶。因此係 照射數次以上,如照射5次、3〇次以上雷射,足量提供使結 晶生長的能量來實施大粒徑多晶矽膜化。但是仍存在種 種如準分子雷射輸出之穩定性、生產性、因大型化而裝置 價格提高、良率/品質降低等問題,尤其形成i m χ】m的大 型玻璃基板時,前述問題擴大,更難達到性以品質提高盘 成本降低。 ^ 最近,如特開平1 1-97353號等揭示有以45〇〜6〇〇充 小時的加熱處理,使促進晶化之觸媒元素(鎳、鐵、鈷等) 在非晶質矽膜内擴散’以形成結晶性矽膜的方法。但是, 由於該方法存在形成有觸媒元素的結晶,時膜,因而雖如 特開平8·33觸號等所揭示,為求除去(除氣)該觸媒元素, 採用在含有氣等函素的環境下加熱處理的方法;在妗a拄 石夕膜上選擇性添加碟後加熱處理的方法;及以雷射=強 光照射含有觸媒元素之結晶性矽膜,在 一 在#易擴散觸媒元素
552707 五
的狀態下’以選擇性添加的元素吸收觸媒㈣的方法等, 不過步驟複雜’且除氣效果不佳,損㈣膜 ’有損所製作之元件的穩定性與可靠性。 此外’採用以固態生長法製造多晶梦MOSTFT的方法, 在祕以上溫度下實施十多小時的退火時,由於須以約 1000 C的熱氧化形成閘二氧化矽,因此必須採用半導體制 絲置。以致基板尺寸限定在晶圓尺寸8〜12仆並須採二 高耐熱性a昂貴的石英玻璃,很難降低成本,用途僅限 在EVF及資料/AV投影機上。 最近,開發出在玻璃基板等了邑緣性基板上,以低溫製作 多晶矽膜、氮化矽膜等所獲得之優異熱CVD的觸媒cvd法 (參照特公昭63-403 14號、特公平8-25〇438號),並正實施實 用化檢討。觸媒CVD法雖不以晶化退火即可獲得約^ cm2/V· sec的載體移動率,但於製作良好之m〇stft裝置時 仍顯不足。因而,在玻璃基板上形成多晶矽膜時,部分成 膜條件下容易形成有初期之非結晶矽的轉移率(厚度5〜1〇 nm),而在底閘型M0STFT時,即難獲得所需的載體移動率 。通常使用驅動電路一體型之多晶矽]^〇3丁1:丁的LCD,底閘 型MOSTFT在良率及生產性方面雖容易製造,但是這個問 題造成瓶頸。 本發明之目的,在提供一種以高晶化率可以容易、低成 本且大面積形成南品質之多晶碎等多晶性或單晶性半導體 薄膜之方法,及實施該方法之裝置。 本發明之其他目的,在提供一種構成部分包含此種多晶 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7
性或:晶性半導體薄膜之M〇STF 丁等半導體裝置之製造方 去,貫施該方法之裝置,以及電氣光學裝置。 【課題之解決手段】 亦即,本發明係一種半導體薄膜之形成方法及半導體裝 f之製造方法,其係於基體上形成多晶性或單晶性半導體 溽膜,或製造在基體上包含多晶性或單晶性半導體薄膜之 半導體裝置時,包含·· 第一步驟,其係在前述基體上形成低度結晶性半導體 膜;及 一第二步驟,其係在前述低度S晶性半導體薄膜上實施, 藉由非線形光學效果產生光諧波之近紫外線(ultra_Vi〇iet Rays :以下簡稱為uv)或/及遠紫外線(Deep mtra_vi〇iet hys:以下簡稱為DUV)雷射退火,藉由在熔融或半熔融或 非熔融狀態下加熱與冷卻,以促進前述低度結晶性半導體 薄臈的晶化。 此外,本發明提供一種多晶半導體薄膜之形成裝置,及 半導體裝置之製造裝置,其實施本發明之方法的裝置包 含: 第一機構,其係在前述基體上形成低度結晶性半導體薄 膜;及 第二機構’其係在前述低度結晶性半導體薄膜上實施, 藉由非線形光學效果產生光譜波之近紫外線(UV)或/及遠 紫外線(DUV)雷射退火,藉由在熔融或半熔融或非熔融狀 態下加熱與冷卻,以促進前述低度結晶性半導體薄膜的晶 -8 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 5 五、發明説明( 化。 此外’本發明係提供一種電氣光學裝置,其係分別在各 色用之有機或無機電致發光層的下層,包含與包含前述多 晶性或單晶性半導體薄膜之M〇STFT之汲極或源極連接的 陰極或陽極,包含前述M〇STF 丁及二極體之主動元件上亦 被則述陰極覆蓋,或前述各色用有機或無機電致發光層之 各層上及各層間全面覆蓋有共通的前述陰極或陽極。
裝 此外,本發明也提供一種電氣光學裝置,其係電致發射 顯示器(FED)之射極,經由前述多晶性或單晶性半導體薄膜 ’連接於包含前述多晶性或單晶性半導體薄膜之MOstf丁 的汲極,同時藉由生長在前述多晶性或單晶性半導體薄膜 上之η型多晶性半導體膜或多晶性鑽石膜所形成。 訂
採用本發明,由於係在基體上形成低度結晶性半導體薄 膜’在該低度結晶性半導體薄膜上實施藉由非線形光學效 果以產生光諧波的UV或/及DUV雷射退火(以下,有時稱之 為本發明之雷射退火或前述雷射退火),藉由在熔融或半熔 融或非熔融狀態下加熱與冷卻,促進前述低度結晶性半導 體薄膜之晶化,以形成多晶性或單晶性半導體薄膜,因此 可獲得以下(1)〜(12)項所示的顯著作用效果。 (1)照射藉由非線形光學效果以產生光譜波之高輸出之 (以下,有時稱之為光諧波調制)UV或/及DUV雷射光束,藉 由將非晶質石夕膜等低度結晶性半導體薄膜加熱成熔融或半 溶融狀態’或以非溶融狀態加熱,使其冷卻而晶化之所謂 光諧波調制UV或/及DUV雷射退火,賦予低度結晶性半^
552707 五、發明説明( 體:膜d射能’藉由將其加熱成熔融或半熔融狀態,或 ?:狀:加熱、冷卻,可獲得大粒徑之高载體移動率 ’南μ之夕晶性矽膜等多晶性或單晶性半導體薄膜,可 大幅提兩生產性及大幅降低成本。 ⑺由於本發明之雷射退火係藉由同時使上述加熱帶移 動之所σ月的帶精製法,為求促進晶化而預先添加之達成其 功能之鎳等觸媒元素及其他雜質元素離析至高溫的熔融帶 ,,此可輕易除去,不殘留在膜中,θ而容易獲得大粒徑 之尚載體移動率、高品質(高純度)的多晶性半導體薄膜。 再者,此時藉由連續以數條雷、-光束照射,反覆熔融帶與 冷卻帶之所謂的多重帶精製法,更可獲得大粒徑、高品質 (高純度)的多晶性半導體薄膜。藉由該高純度化,可不損 及半導體特性而提高製作之元件的穩定性與可靠性。又因 藉由光諧波調制UV或/及DUV雷射退火之帶精製法或多重 帶精製法的簡單處理,以有效除去達成促進晶化功能之觸 媒元素及其他元素,因此可藉由減少工時而降低成本。 (3)由於多晶性矽等結晶粒集中於雷射掃瞄方向,因此藉 由在該方向形成TFT,晶界不均及應力減少,可形成高移 動率的多晶性矽膜等。 (4)藉由反覆以光諧波調制uv或/及DUV雷射退火之帶精 製法或多重帶精製法促使晶化的多晶性矽等膜上堆疊低度 結晶性矽等膜,再度以該雷射退火促使晶化的方法,可以 μηι單位的厚度堆疊形成大粒徑之高載體移動率、高品質的 多晶性矽膜等。藉此,除MOSLSI之外,亦可形成高性能、 - _ 10 _ 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 552707 A7 B7 五、發明説明(7 ) 高品質之雙極LSI、CMOS感測器、CCD面性/線性感測器、 太陽電池等。 (5) 光諧波調制UV或/及DUV雷射藉由控制其波長、照射 強度及照射時間等,並聚光整形成線狀、長方形或正方形 ,可任意設定雷射光束徑及雷射掃瞄間距等,促進照射強 度,亦即熔融效率及生產量提高而降低成本。且藉由①使 雷射光在固定之基板上進行電流計掃瞄,②藉由對固定之 雷射光,使基板以高精度步進馬達實施步進及反覆移動等 的加熱熔融及冷卻方法,再藉由數個雷射同步掃瞄,亦可 以短時間實施大面積(如1 m χ/f m)退火,可獲得大面積之 任意結晶粒及純度之多晶性矽膜等,因此可提高生產性, 降低成本/ (6) 由於以非線形光學結晶以產生諧波的UV或/及DUV雷 射,主要係將高輸出之半導體雷射激勵YAG(鈥:YAG ;添 加鈥之釔鋁石榴石)雷射作為基本波,因此,保修安全、容 易,顯示穩定的高輸出,形成小型、低耗電且廉價的雷射 裝置。 (7) 由於光諧波調制UV或/及DUV雷射退火可任意選出如 非晶質矽膜之光吸收效率高的200〜400 nm波長,實施高輸 出單一波長的雷射光束照射,因此,照射面之能量分布不 均、所獲得之晶化半導體膜不均、各TFT之元件特性不均 的情形少,可因高生產量的高聲產性而降低成本。 (8) 本發明使用之光諧波調制UV或/及DUV雷射藉由選擇 及結合基本波與非線形光學結晶,容易控制波長及照射強 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
552707
度可任思選出如非晶質矽膜之光吸收效率高的2〇〇〜400 nm波長,貫施咼輸出單一波長的雷射光束照射。 ⑼再者’由於可將照射雷射光任意聚光整形成線狀、長 $形或正方形等以進行雷射光束照射,因此,照射面之能 i刀布不均 '所獲彳于之晶化半導體膜不均、各TFT之元件 特性不均的情形少,可因高生產量的高聲產性而降低成 本〇 (10) 如以第三諧波產生之波長355 11111的1;¥雷射光束使低 度結晶性半導體薄獏熔融及冷卻而晶化時,同時照射波長 為1064 nm之基本波的紅外光雷一士光束、或第二諧波之波長 為532 nm之可見光雷射光束、或其紅外光雷射光束及可見 光雷射光束的混合雷射光束,可將低度結晶性半導體薄膜 及玻璃基板與以加熱,由於此等被充分加熱,因此容易確 貫執行促進逐漸冷卻而晶化。又因不捨棄基本波及第二諧 波,可有效使用此等,因此可減少全般的耗電。 (11) 由於光諧波調制UV或/及DUV雷射退火可適用於低 溫(200〜400。〇,因此可採用廉價且大型化容易之低畸變點 玻璃及耐熱性樹腊,以促使重量減輕與降低成本。 (12) 除表面閘型之外,為求底面閘型、雙閘型TFT 亦可獲得高載體移動率之多晶性半導體膜或單晶性半導體 膜等,可製造使用該高性能之半導體膜之快速、高電流密 度的半V體裝置、電氣光學裝置甚至高效率的太陽電池等 。例如,可製造矽半導體裝置、矽半導體積體電路裝置' 電致發射顯示器(FED)裝置、^夕-鍺半導體裝置、石夕·鍺半導 -12- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明(9 ) 體積體電路裝置、液晶顯示裝置、電致發光(有機/無機㈣ 示裝置、發絲合物顯示裝置、發光二極體顯示裝置、光 感測器裝置、CCD面性/線性感測器裝置' (:1^〇3感測器裝 置、太陽電池裝置等。 〜 〇 另外,本發明中,上述所謂之低度結晶性半導體薄膜, 如後述的定義,主要為亦含微結晶(粒度通常在1〇 nm以下) 之以非晶質(amorphous)為基本之構造,上述多晶性半導體 膜主要為以除去此種非晶質成分之大粒徑(粒度通常在數 100 nm以上)之多結晶為基本,亦含微結晶的構造❹此外, 上述單晶性半導體膜除指單結曰曰矽等單結晶半導體之外, 亦含單晶化合物半導體(如單結晶鎵砷)及單結晶矽-鎵,所 謂單晶性,定義為亦包含次晶界及含轉移之單結晶的概念 。此外,上述多晶性鑽石膜為幾乎不含非晶質(am〇rph〇u=) 鑽石,而含微結晶鑽石及多結晶鑽石的結晶性鑽石膜。 【發明之實施形態】 如以上所述,本發明之雷射退火上可使用藉由非線形光 學效果產生光諧波之近紫外線(UV)或/及遠紫外線(DUV) 雷射光束,不過,此時可將光諧波產生之前述雷射光束與 光諧波產生前的基本波混合使用。 此外,可藉由對前述基體相對性掃瞄前述雷射光束實施 照射的帶精製法,或將數條前述雷射光束置於相前後,對 前述基體相對性實施掃瞄的多重帶精製法進行前述雷射退 火。例如,可將前述基體或雷射位置予以固定,同時使前 述雷射或前述基體移動》 -13-
552707 A7 B7 五、發明説明(1〇 ) 而在短波長成分之前或其前方位置對前述基體照射前述 雷射光束中的長波長成分時,可將低度結晶性半導體薄膜 或基板加以預熱,減少晶化不均,並藉由逐漸冷卻效果以 促進晶化。 本發明之上述低度結晶性半導體薄膜可藉由觸媒CVD及 電漿C VD、減壓C VD、濺射等形成即可,而使其汽相生長 時,使用之原料氣體如:氫化矽或其衍生物、氫化矽或其 衍生物與含氫、氮、鍺、碳或錫之氣體的混合物、氫化石夕 或其衍生物與含包含週期表第III族或第V族元素之雜質之 氣體的混合物、氫化矽或其衍if物與含氫、氮、鍺、碳或 錫之氣體與包含週期表第III族或第V族元素之雜質之氣體 的混合物等。 例如,使800〜2000°c (未達融點)之加熱觸媒體接觸氫系 載氣與原料氣體之至少一部分,藉由觸媒反應或熱分解反 應產生之自由基、離子等堆積種堆積在加熱至200〜400°C的 基板上,以形成低度結晶性半導體膜。並藉由一般電漿CVD 、減壓CVD、濺射法等,使其堆積在加熱至200〜400°C的基 板上,以形成低度結晶性半導體薄膜。 如此,可形成包含非晶質矽膜、含微結晶矽之非晶質矽 膜、微結晶矽(含非晶質矽微結晶矽)膜、含非晶質矽及微 結晶矽多晶矽膜、非晶質鍺膜、含微結晶鍺非晶質鍺膜、 微結晶鍺(含非晶質鍺微結晶鍺)膜、含非晶質鍺及微結晶 鍺多結晶者膜、以SixGeNx (0<x< 1)表示之非晶質矽鍺膜 、非晶質碳膜、含微結晶碳非晶質碳膜、微結晶碳(含非晶 -14- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 _ B7 五、發明説明(W~) 質碳微結晶碳)膜' 含非晶質碳及微結晶碳多結晶碳膜、以 SixCj-χ (0< x< 1)表示之非晶質石夕碳膜、或以GaxASl-x (〇< x < 1)表示之非晶質鎵膜等的前述低度結晶性半導體薄膜。 該低度結晶性半導體薄膜以非晶質為基本,或包含微結晶 時,有粒徑在10 nm以下之微結晶散佈即可。 繼續,於該低度結晶性半導體薄膜生長時或生長後,使 其適量(合計如1〇17〜l〇2G atoms/cc)含有觸媒元素(鎳、鐵、 始、釘、錢、把、鐵、錶、羯、銅、金、錯、錯、锡)之至 少一種’在此狀態下,實施前述雷射退火,該低度結晶性 半導體薄膜予以晶化時,於促&晶化的同時容易獲得減少 存在於多結晶性半導體薄膜之晶界(Grain Boundary)之不 平均’減少其膜應力之高載體移動率、高品質的多結晶性 半導體薄膜。該觸媒元素構成氣體成分混合在原料氣體中 ,或藉由注入離子或摻雜離子,可使其含在低度結晶性半 導體薄膜中。此時,達成促進晶化功能之觸媒元素及其他 雜質元素被吸出(離析)至掃瞄終端之高溫的矽熔融帶或半 熔融帶或非熔融帶,可形成如雜質元素減少至丨〇u atoms/cc 以下的高純度多晶性半導體膜。此時,藉由數個前述雷射 光照射’及連續反覆係熔融帶與冷卻之所謂多重帶精製法 ’進一步局晶化’亦可促進觸媒元素及其他雜質的除氣, 促使高純度化。 另外,本發明所形成之大粒徑多晶性或單晶性半導體膜 中之氧、氮、石厌》辰度分別在1 x 1〇丨9 at〇ms/cc以下,更宜在 5 X 1018at〇ms/cc以下,氫濃度宜在〇 〇1原子%以上。 —___ _«15· I紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公董) '—- 12 五、發明説明( 曰,:本發明之雷射退火’使前述低度結晶性石夕等低度結 =生、,導體薄膜變質成大粒徑之多晶性矽等多晶性半導體 T膜:除此之外,亦可於前述基體之特定元件形成預定區 V :形成特定形狀及尺寸之有階差的凹部,在包含該凹部 述基體上,开〉成含有或不含觸媒元素之至少一種的前 述低度結晶性碎薄膜後,以本發明之雷射退火,使前述階 差的底邊角部在晶種上圖形外延生長時,可使前述低度結 晶性矽薄膜變質成單晶性矽薄膜。 或疋在則述基體之特定元件形成預定區域内形成單結 晶矽與晶袼整合良好之結晶性Ϊ石英等物質層,在該物質 二成3有或不含觸媒元素之至少一種的前述低度結晶 :膜後《本發明之雷射退火,使前述物質層在晶種 上-貝外延生長時,可使前述低度結晶性矽薄膜變質成單 晶性矽薄膜。 、亦可糟由反覆實施本發明之雷射退火與形成低度結晶性 半導體薄冑’堆疊膜’形成叫單位之多晶性或單晶性半導 體厚膜。亦即,在第一次本發明之雷射退火中形成大粒徑 之多晶性,單晶性半導體薄膜,在其上堆疊形成低度結晶 〖生半導體薄膜,繼續將該底層之大粒徑多晶性或單晶性半 ,體薄膜’藉由實施第二次同樣的本發明之雷射退火,在 曰曰種上堆豐形成大粒徑多晶性或單晶性半導體膜,如此反 $必要次數,可堆疊形成卜爪單位之膜厚之大粒徑多晶性或 早晶性半導體膜。於此種堆疊時,係將底層之大粒徑多晶 性或單晶性半導體膜逐次堆疊形成,因此,愈接近膜表面 -16 - 本紙張尺度適用中關家料(CNS) Α鐵格(210X297公$ 552707 A7 B7 五、發明説明(13 ,愈可堆疊形成高晶化率、高純度之大粒徑多晶性或單晶 性半導體膜。此時,在各本發明之雷射退火後之晶化獏表 面^員避免形成低度氧化膜及附著雜質(c〇ntaminant)。 為求防止形成低度氧化膜及雜質,提高生產性,宜採用 將低度結晶性半導體薄膜形成步驟或機構(電漿CVD、觸媒 CVD、濺射等)與本發明之雷射退火步驟或退火器予以_體 化的裝置,藉由如線上(連續處理室)方式(線型、旋轉型) 、多處理室方式、簇團方式等連續或依序實施。 其中更宜採用如下(1)或(2)項中的簇團方式: (1) 反覆實施在CVD部形成低^結晶性半導體薄膜後,以 退火器部的本發明之雷射退火予以晶化,將其送回Cvd部 ’在其上形成低度結晶性半導體薄膜,再度以退火器部之 本發明之雷射退火予以晶化步驟的簇團方式一體化裝置。 (2) 連續在CVD-1部形成底層保護膜(氧化矽/氮化矽疊層 膜等),在CVD-2部形成低度結晶性半導體薄膜後,在離子 摻入/離子注入部添加觸媒元素後,以退火器部之本發明之 雷射退火予以晶化,再度於CVD-3部形成閘極絕緣膜(氧化 矽膜等)之作業的簇團方式一體化裝置。 此時,於再度實施本發明之雷射退火之前,如對前述多 晶性半導體薄膜,使氫或含氫氣體之電漿放電或觸媒反應 所產生之氫系活性種等作用(亦即藉由電漿或觸媒原子氫 退火(AHA ; Atomic Hydrogen Anneal)處理),實施前述多晶 性半導體薄膜的表面潔淨及/或除去氧化覆膜,之後,宜在 前述低度結晶性半導體薄膜形成後實施前述的雷射退火。 _ -17- 本紙張尺度適用巾國國家標準(CNS) A4規格(210 X 297公爱) " - 552707 A7 B7 五 發明説明( 14 此時(或其他情況下)尤宜在減壓氫中或含減壓氫之氣體中 或真空中實施本發明之雷射退火。 亦即,具體而言,宜形成以下(1)或(2)項的條件: (1) 以CVD形成膜之前,不流入原料氣體,藉由僅以氫系 載氣之電漿AHA處理,除去第一次本發明之雷射退火所形 成之多晶性矽膜表面的雜質(低度氧化膜、水分、氧、氮、 碳酸氣體等),潔淨界面,蝕刻殘留的非晶質矽,形成高晶 化率之多晶矽薄膜化,將該底層作為晶種,於潔淨之界面 上堆登之低度結晶性矽薄膜藉由繼續實施本發明之雷射退 火’堆疊形成良好結晶之大粒了呈多晶性或單晶性半導體薄 膜。 (2) 為防止氧化及氮化,係在減壓氫或減壓氫系氣體環境 中f真空中實施本發明之雷射退火。該環境係氫或氫與惰 !生氣體(氬、氦、氪、氙、氖、氡)的混合氣體,氣壓在1 ·33 Pa以上’未達大氣壓,更宜為133 pa〜4 χ i〇4pa。真空度 在1.33 Pa以上,未達大氣壓,更宜為13 3 pa〜2 33 χ i〇4pa 仁疋,低度結晶性半導體薄膜表面上有絕緣性保護膜 (氧化矽膜或氮化矽膜、氧氮化矽膜或氧化矽膜/氮化矽膜 疊層膜)時,或非連續作業時,亦可在空氣中、大氣壓氮氣 由於在減壓氫或含減壓氫氣體中實施本發明之雷射退火 2,構成環境氣體之比熱大,熱冷卻效果大的氣體分子在 薄膜面上撞擊、而脫離時會吸收薄膜的熱,因此局部性形 成溫度低的部分,藉此在該部分產生結晶核,以促進結晶 -18- 552707
長。此時之環境氣體若為氫氣或氫與惰性氣體(氦、氖、 氬等)的混合氣體時,該氣壓宜在h33 Pa以上,未達大氣壓 ,更宜為133 Pa〜4 x 104 Pa,此因可藉由比熱高的氫分子等 運動以峰實獲得上述的作用效果。 光諧波調制UV/DUV雷射退火可實施如下: ① 以第二諧波產生波長為355 nm的UV雷射光束將低度結晶 性半導體薄膜加熱成熔融或半熔融或非熔融狀態,並 冷卻而晶化。 ② 同4,照射基本波1064 nm之紅外光雷射光束、或第二諧 波之波長為532 nm的可見光雷^光束、或其紅外光雷射光 束及可見光雷射光束之混合雷射光束,將低度結晶性半導 體薄膜及玻璃基板與以加熱。 ③ 同時’以電阻加熱器及紅外線燈等將低度結晶性半導體 薄膜及整個玻璃基板與以加熱。 ④ 同時’以基本波1064 nm之紅外光雷射光束、或第二諧波 之波長為532 nm的可見光雷射光束、或其紅外光雷射光束 及可見光雷射光束之混合雷射光束,與電阻加熱器、紅外 線燈等’將低度結晶性半導體薄膜及玻璃基板與以加熱。 亦即,執行以下的任何一項: (1) 同時照射第三諧波UV雷射光束(波長355 nm)與基本波 1064 nm的紅外光雷射光束(圖丨丨之…)) (2) 同時照射第二諧波UV雷射光束(波長355 nm)與第二譜 波之可見光雷射光束(波長532 nm)(圖11之(B)) (3) 同時照射第三諧波UV雷射光束(波長355 nm)與基本波 -19 - 孓紙張尺度適財g s家科(CNS) A4規格(21G χ 297公爱) 552707
1064 nm的紅外光雷射光束盥筮一 、 |兴第一諧波之可見光雷射光束 (波長 532 nm)(圖 11 之(C))。 此時,為求低度結晶性半導體薄膜有效加熱熔融與基板 的加熱, 1·基本波或/及第二諧波之雷射光束照射區域,須為大 於第三諧波UV雷射光束照射區域,且包含第三諧波uv 雷射照射區域的區域。 2·基本波或/及第二諧波雷射光束須至少於照射第三諧 波UV雷射光束之前照射。 3·基本波或/及第一谐波雷射光束宜照射在比第三諧波 UV雷射光束之照射位置之移動方向的更前方。 4·第三諧波UV雷射光束的照射時間須在照射基本波或/ 及第二諧波雷射光束的期間内,且在照射週期之1 /2以下 的期間内照射基本波或/及第二諧波雷射光束。 亦即,可在第三諧波UV雷射光束之局部加熱上,結合基 本波或/及第一谐波雷射光束之整個基板的加熱,或/與電阻 加熱器、紅外線燈等之整個基板的加熱。 先前之準分子雷射為求除去電漿CVD形成之非晶質矽膜 中所含約10〜30%的氫,係①進行400°C,lh以上的加熱,或 是②以低於使其熔融之照射能的照射能加熱,或是③併用此 等之①與②。若如此未實施脫氫化處理時,於熔融時,氫膨 脹、爆炸,而在膜上產生裂痕。因而,於此種前處理後, 係以熔融能照射雷射光使其晶化,因此效率差,所獲得之 半導體薄膜的品質並未提高。 ___ -20- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 552707 A7 B7 五、發明説明(17 ) 反之,由於本發明之雷射退火係與使低度結晶性半導體 薄膜熔融的光諧波調制UV或/及DUV雷射同步,以其基本 波(紅外線、可見光線)之照射將其前方區域予以脫氫化之 後,照射熔融能而予以晶化,因此脫氫化的效率提高,同 時由於降低整個基板的加熱溫度,因此生產性提高且所形 成之多晶性半導體薄膜的品質提高。 此種光諧波調制UV或/及DUV雷射退火時,可在前述基 體上吹熱風。亦即,為求基板溫度之均勻化及穩定化、降 低膜及基板的應力、以促進逐漸冷卻等,宜自基板内面吹 如100〜400°C的空氣,或惰性(氮氣等)的熱風。此外, 雖亦可藉由低電阻加熱器、紅外線燈、雷射光束等,將基 板加熱至其畸變點以下的溫度,不過,須視基板材質而定 ,對玻璃基板加熱至200〜500°C,更宜加熱至300〜400°C, 對石英玻璃基板加熱至200〜800°C,更宜加熱至300〜600°C。 光諧波調制UV或/及DUV雷射退火的方法如下: ① 固定基板,如以特定之重疊量錯開並照射聚光整形成300 mm X 0.3 mm之線狀的前述雷射光束。亦即,以所謂之電流 計掃描器掃瞄實施照射退火。 ② 固定如聚光整形成300 mm X 0.3 mm之線狀的前述雷射 光束,以高精度使基板步進及反覆移動,以特定之重疊量 錯開並實施照射退火。 另外,產生波長為355 nm之UV雷射的方法如下: 美國專利第5253 102號的方法: 以第一非線形光學結晶使鈥·· YAG(波長1064 nm)光和頻 _-21 -_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(18 ) 率產生(SFG: Sun Frequency Generation),使產生第二請波 產生(SHG: Secondary Harmonic Generation)之波長為 532 nm的雷射光,繼續在第二非線形光學結晶中,藉由前述532 nm之雷射光與敍:YAG基本波(波長1064 nm)的光和頻率產 生,以獲得紫外線雷射輸出355 nm。 曰本專利第3057252號的方法: 將以閃光燈激勵方式或雷射二極體激勵方式之模式鎖定 型鈥:YAG(波長1064 nm)雷射振盪器產生之雷射光束輸入 至如包含KTP(磷酸鉀鈦:KTi0P04)的第一非線形光學結晶 ,產生角頻率2ω之第二諧波及頻率ω之基本波。繼續, 藉由1/2波長板,使該第二諧波的偏光面旋轉90°,將該第 二諧波與基本波混合後,將其輸入至如包含BBO (p-BaB204 :硼酸鋇)的第二非線形光學結晶,藉由和頻信號產生,使 角頻率3ω之第三諧波產生。該第三諧波形成λ/3 = 355 nm的 波長。 可使用之非線形光學結晶,宜為LBO (LiB305 :硼酸鋰) 、BBO (P-BaB204 :硼酸鋇)、KDP(磷酸二氫鉀)、KTP(磷 酸鉀鈦:KTi0P04)中的任何一種。 非線形光學結晶之光諧波調制UV雷射的規格,即使決定 UV雷射波長,而前述UV雷射照射之晶化等級及載體移動 率則係由低度結晶性半導體膜之膜厚及膜質、基板溫度、 掃瞄速度等來決定,以下顯示一種例子: 如)UV雷射波長 :355 nm
UV雷射平均輸出 :20 W -22- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明(19 ) --- 雷射光束尺寸 :200 X 1 mm 反覆頻率 :20 kHz(脈衝狀) 另外,該非線形光學結晶之光諧波調制Uv/duv雷射退 火裝置可為先前所熟知者,此外,線束均句化光學系統 (波形成形用)、雷射退火器之操作處理、轉移、載入/上載 之多處理室系統、計測、控制系統等亦可為採用熟知技術 此外,亦可在前述低度結晶性半導體薄膜上,以適切膜 厚形成氧化矽膜或氮化矽膜或氧氮化矽膜或氧化矽膜/氮 化矽膜疊層膜等的絕緣性保護,在此種狀態下,實施前 述雷射退火。例如,亦可對形成在前述基體上之前述低度 結晶性半導體薄膜,或覆蓋保護用絕緣膜,實施前述低度 結晶性半導體薄膜之本發明之雷射退火時,自其上面或自 下面或同時自上面與下面實施前述雷射退火照射(但是,除 自上面妝射時’基體須透明(亦可穿透400 nm以下波長的光 線))。 此時前述低度結晶性半導體薄膜或覆蓋前述保護用絕緣 膜之前述低度結晶性半導體薄膜,可為予以島狀化者,可 在大氣壓氮氣中或空氣中實施前述雷射光束照射,可在減 壓氫氣中或含減壓氫之氣體中或真空中實施前述雷射光束 照射(此等在其他雷射光束照射條件下亦同)。 為求降低基板溫度上昇、降低膜應力、防止因含有氣體 (氫等)之瞬間膨脹造成膜的裂痕、因逐漸冷卻造成大粒徑 化等,亦可於前述低度結晶性半導體薄膜或覆蓋前述絕緣 --------23- 本紙張从適财S S家料(CNS) A4規格(210X297公着了 552707 A7 B7 五、發明説明(20 ) 性保濩膜之低度結晶性半導體薄膜在圖案化並島狀化的狀 態下,實施前述雷射退火。 此外,亦可在磁場及/或電場的作用下實施前述雷射退 火。 本發明之雷射退火時,預先將基體加熱至其畸變點以下 的溫度,更宜為300〜400°C時,可促使退火時低度結晶性半 ‘體膜的脫風化’結晶性均勻化,降低膜及基板應力,提 高照射能效率,及提高生產性等。 藉由本發明之雷射退火所獲得之前述多晶性或單晶性半 導體薄膜,可形成MOSTFTiSi、源極及汲極區域或二 極體、配線、電阻、電容或電子釋放體等。此時,於形成 前述通道、源極及汲極區域、二極體、電阻、電容、配線 或電子釋放體等後,對前述區域實施該本發明之雷射退火 時’可實施再晶化與膜中之η型或p型雜質的活化。此外, 將上述區域予以圖案化(島狀化)後,實施本發明之雷射退 火時’可防止因溫度上昇造成基板損壞(裂痕、斷裂等), 且可防止因溫度急遽上昇造成膜的碎裂。 本發明適於形成矽半導體裝置、矽半導體積體電路裝置 、矽-鍺半導體裝置、矽_鍺半導體積體電路裝置、合物半 導體裝置' 化合物半導體積體電路裝置、碳化矽半導體裝 置、碳化石夕半導體積體電路裝置、多晶性鑽石半導體裝置 、夕aa性鑽石半導體積體電路裝置、液晶顯示裝置、有機 或無機電致發光(EL)顯示裝置、電致發射顯示器(fed)裝置 、發光聚合物顯示裝置、發光二極體顯示裝置、CCD面性/
552707 A7 _______B7 五、發明説明(21 ~) 線性感測器裝置、CMOS或MOS感測器裝置、太陽電池裝 置用的薄膜。 例如,以該薄膜形成表面閘型或底面閘型或雙閘型 MOSTFT,並以該MOSTFT形成外圍驅動電路、影像信號處 理電路、圮憶體等一體型之液晶顯示裝置、有機EL顯示裝 置、FED顯示裝置等。 此時,於製造包含内部電路及外圍電路之半導體裝置、 電氣光學顯示裝置、固體攝影裝置等時,可藉由前述多晶 性或單晶性半導體薄膜’形成構成至少前述一種電路之 MOSTFT之通道、源極及沒極區域,此外亦可構成外圍驅 動電路、影像信號處理電路、記憶體等的一體型。 此外,亦可分別在各色用之有機或無機電致發光層(EL 層)的下層形成包含與前述MOSTF 丁之汲極或源極連接之陰 極或陽極的EL元件構造。 此時,前述MOSTFT及二極體等主動元件上亦覆蓋前述 陰極時,陽極在上部的構造,其發光面積增加,同時可藉 由陰極的遮光作用,防止發光光線射入前述主動元件两產 生漏電流。此外,在整個前述各色用之有機或無機E]L層之 各層上及各層間覆蓋刖述陰極或陽極時,藉由全部被陰極 或陽極覆蓋’防止不耐濕氣之有機EL層老化及電極氧化, 了延長使用哥命、達到局品質及南可靠性,此外,由於以 陰極覆蓋時的散熱效果高,因此可降低因發熱造成有機£乙 薄膜的構造改變(熔解或再晶化),延長使用壽命、達到高 品質及高可靠性,且藉此可以良好的生產性形成高精度、
552707 A7 B7 22 五、發明説明( 高品質的彩色有機EL層,因此可降低成本。 此外,在前述各色用之前述有機或無機EL層之間形成鉻 、二氧化鉻等黑掩模層時,可防止各色間或像素間的漏光 ,對比提高。 將本發明應用在電致發射顯示器(FED)裝置上時,可經由 前述多晶性或單晶性半導體薄膜將其發射器(電場釋放陰 極)連接於則述MOSTFT的汲極,同時可藉由生長在前述多 晶性或單晶性半導體薄膜上之n型多晶性半導體膜或多晶 性鑽石膜形成。 此時,經由絕緣膜,在前述^OSTFT、二極體等主動元 件上形成接地電位之金屬遮蔽膜(此時,以前述fed之閘極 引出電極之同一材料,以同一步驟形成時,具有步驟簡化 等優點)時,可防止氣密容器内之氣體藉由發射器釋放的電 子形成正離子化,在絕緣層上充電,該正電荷在絕緣層下 之主動元件上形成不需要的反轉層,多餘的電流自該反轉 層流出,而產生發射器電流逸出。此外,亦可防止螢光體 因發射器釋放之電子的撞擊而發光時,因該光線在 MOSTFT之閘極通道内產生電子、字容,而產生漏電流。 其次,就較佳之實施形態進一步詳細說明本發明。 1一種實施形餽 參照圖1〜圖16說明本發明之第一種實施形態。 本實施形態為將本發明應用在表面閘型多晶性矽輔助金 屬氧半導體(CMOS ; Complementary MOS) TFT者。 -26 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂 線 552707
<觸媒CVD法及其裝置> 首先,說明本實施形態中使用的觸媒⑽法。觸媒⑽ 法係使包含“載氣與㈣氣料料氣體之反應氣體盘 加熱之鎮等觸媒體接觸,在藉此產生之自由基之堆積種或 其先驅物及活化氫離子等之氫系活性種上賦予高能量,在 基板上使含非晶質石夕之微結晶石夕等低度結晶性半導體薄膜 汽相生長。 該觸媒CVD係採用如圖5〜圖6所示之裝置實施。 該裝置之包含氫系載氣與氫化矽(如曱矽烷)等原料氣體 4〇(及依需要亦包含b#6及PH3*:SnH4等摻雜氣體)的氣體, 自供給導管41通過沖淋頭42的供給口(圖上未顯示),導入 成膜室44内。成膜室44内部分別配置有用於支撐玻璃等基 板1的承受器45 ;耐熱性佳(宜包含與觸媒體46相同或其以 上之融點的材質)之沖淋頭42 ;如線圈狀之鎢等觸媒體粍; 及可開閉之快門光閘(Shutter) 47。另外,在承受器45與成 膜室44之間實施磁性密封,不過圖上並未顯示,此外,成 膜至44設置於執行前步驟之前室之後,並經由閥門,以渦 輪分子泵等排氣。 ~ 繼續,基板1以承受器45内之加熱線等加熱機構加熱,而 觸媒體46如作為電阻線,加熱至融點以下(尤其為800〜 2〇〇〇°(:’為鎢時約為1600〜1800。(:)被活化。觸媒體46的兩 端子連接於直流或交流之觸媒體電源48,藉由該電源通電 而加熱至特定溫度。 實施觸媒CVD法時,在圖5的狀態下,成膜室44内之真空 _______ -27· 本紙張尺度咖 t®»S5(bNS) Α4^(21〇Χ297^)
裝 訂
線 552707
度設定為1·33 χ ι〇·4〜1·33 x i〇·6 Pa,如供給氫系載氣 1〇〇〜200SCCM,將觸媒體加熱至特定溫度而活化後,自供 給導管41,通過沖淋頭42之供給口㈠,導入包含氫化石夕 (如甲矽烷)氣體1〜20SCCM(及依需要亦適量包含匕^及 PH;等摻雜氣體)的反應氣體4〇,氣壓在^^〜^ 3 ,如 設定在1·33 Pa。此時,氫系載氣可為氮、氮+氬、氮+氛 、氫+氖、氫+氙、氫+氪等之在氫内適量混合惰性氣體 的任何一種氣體(以下均同)。 繼續,如圖6所示,打開快門光閘47,使原料氣體4〇之至 少一部分與觸媒體46接觸,使$觸媒分解,藉由觸媒分解 反應或熱分解反應,形成包含高能量之矽等離子、自由基 等反應種群體(亦即,堆積種或其先驅物及自由基氫離子) 。以高能量,使如此產生之離子、自由基等反應種5〇在保 持200〜800°C (如3 00〜400°C )的基板1上,作為含非晶質矽之 «結晶带等的特定膜,使其汽相生長。 如此’由於不產生電漿,對反應種賦予觸媒體46之觸媒 作用與其熱能產生之高能量,因此,可有效的將反應氣體 轉變成反應種,以熱CVD均句的堆積在基板1上。 此外,即使將基板溫度予以低溫化,由於堆積種的能量 大,可獲得所需之良好品質的膜,因此可使基板溫度更加 低溫化,可使用大型且廉價之絕緣基板(硼矽酸玻璃、鋁矽 酸玻璃等玻璃基板、聚醯亞胺等耐熱性樹脂基板等),在這 方面亦可降低成本。 當然,由於不產生電漿,可獲得無電漿造成損傷之低
裝 訂
線 -28- 552707
五、發明説明(25 應力的產生膜,並且遠比電漿CVD法容易獲得廉價的裝 置。 、乂 此時’雖可在減壓下(如0133〜1.33 Pa)或常壓下執行操 作,不過常壓型要比減壓型更容易獲得廉價的裝置。且常 壓型與先前之常壓CVD比較,可獲得密度、均勻性與密合 性佳之南品質膜。此時,常壓型的生產性亦高於減壓型, 生產性高,可降低成本。 前述觸媒CVD中,因觸媒體46的輻射熱,基板溫度上昇 ’不過如上所述,可依需要設置基板加熱用加熱器51。此 外’觸媒體46係採線圈狀(除此之外,亦可採篩網、線狀、 多孔狀),若再於氣體流動方向上形成數段(如2〜3段),可增 加與氣體的接觸面積。另外,該CVD係將基板丨置於承受器 45的下面,配置在沖淋頭42的上方,因此在成膜室料内產 生之微粒掉落,不致附著在基板1或其上的膜上。 <光諧波調制UV或/及DUV雷射退火及其裝置〉 圖7及圖8顯示一種實施本發明之雷射退火裝置(退火器) 的重要部分。藉此,惰性氣體(氮等)中,藉由非線形光學 結晶201,202 ,將自鉉:YAG (1〇64 nm)雷射棒2〇〇射出之 雷射光束210A予以1/3諧波調制,獲得波長為355 nm2UV 雷射光束210,以照射能密度3〇〇〜5〇〇 mJ/cm2 ,將其照射在 基板1上之非晶質矽或微結晶矽膜7八上,使其形成熔融或 半熔融狀態。 此時,採用以下的兩種方法: (1)如圖7所示,在固定之基板丨上,使雷射照射光21〇自
552707
透鏡系統203入射電流計掃福器2〇4,以適當的速度進行電 流計掃瞄。雷射光束210藉由掃描器2〇4的旋轉,沿著圖上 的實線及假設線掃瞒。 (2)如圖8所示,藉由高精度步進馬彡,以適當的速度, 使基板1對固定之雷射照射光21〇移動。亦即,使基板丨在紙 面左右方向及/或垂直方向進行χ_γ移動(步進及反覆)。 此時,藉由將雷射光束210聚光整形成線狀{如(2〇〇〜6〇〇 mm) X (1〜10 mm)}、長方形{如(1〇〜1〇〇 随)χ (2〇〇〜3〇〇 mm)}、或正方形(如100 x 1〇〇 mm)實施照射亦可減少照 射強度不平均,提高熔融效率^生產量以提高生產性。基 板1藉由承受器(圖上未顯示)内之加熱器(圖上未顯示)預先 加熱至其畸變點以下。 如此,藉由使薄膜7A中之矽熔融帶移動(如以適當速度使 矽熔融帶自北部區域移動至閘極區域及汲極區域,自北部 區域自然冷卻而晶化的所謂帶精製法),形成大粒徑多晶性 矽膜7。
裝 訂 此時,如圖7中所示,達成促進晶化功能之觸媒元素及其 他雜質元素被吸出(離析)至掃瞄終端之高溫的矽熔融帶或 半熔融帶7B,予以除氣,形成有如觸媒元素及雜質元素濃 度降低為1 X 1015atoms/cc以下之高純度的大粒徑多晶性 矽膜。 此外’此時亦可藉由以數個光諧波調制UV雷射光的照射 連續、反覆實施矽熔融或半熔融與冷卻的所謂多重帶精製 法,進一步高晶化,亦可促進觸媒元素及其他雜質元素的
-30 552707
除乳’以達到高純度化。而由於多晶性石夕的結晶轴集中在 該雷射掃瞎方向上,因此晶界的不均句減少,可提高 移動率。 门取篮 此外,與上述同樣的,如圖9所示,使雷射照射光以 適當速度對固定在支撐台202,上的基板丨移動,或是,如圖 所示,使基板1以適當速度對固定之雷射照射光21〇移動 ,使矽熔融帶或半熔融帶移動之所謂的帶精製法(圖9(ι)、 圖丨〇(3)),或藉由數個雷射光照射,連續、反覆矽熔融或半 熔融與冷卻之所謂的多重帶精製法(圖9(2)、圖1〇(4)),為 求促使基板溫度均勻化與穩定化以促成晶化膜的均勻化, 降低晶化膜及基板應力,減少雷射照射能,以促進逐漸冷 卻等,可自基板内面,從噴嘴206,吹出常溫〜4〇〇它,更宜 為200〜300°C之空氣或惰性氣體(氮氣等)的熱風2〇5,,或是 以紅外線燈(鹵素燈等)207,加熱,此等亦可同時進行。照射 光2 10與熱風205·可在上下對稱位置上同步。採用多重帶精 製法時,可進一步促進高晶化與觸媒元素及其他雜質元素 的除氣,以達到高純度化,此外,晶化帶7係按照圖中之(c) —(b)— (a)的順序被高晶化、高純度化。 光諸波調制雷射包含:300〜400 nm的近紫外線(UV)與 200〜300 nm的遠紫外線(DUV)。近紫外線雷射包含:鈥: YAG(波長1064 nm)之1/3諧波的355 nm、氦-氖(波長632·8 nm)之1/2諧波的316.4 nm、氦-氖(波長1·15 μπι)之1/3諧波的 3 83.3 nm、紅寶石(波長694.3 nm)之1/2諧波的347.2 nm等。 遠紫外線雷射包含:氬(波長514.5 nm,488 nm)之1/2諧波 •31 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
裝 訂
552707 A7 B7 五、發明説明(28 ) 的 257·8 nm,244 nm、氪(波長 520.8 nm,476.2 nm)之 1/2 譜波的 260.4 nm,238· 1 nm、氦-編(波長 441.6 nm)之 1/2諧 波的 220.8 11111等。 通常,雷射光之波長與諧波光之波長的關係如以下的公 式[I]。亦即,波長λΐ之雷射光與波長λ2之雷射光入射非線 形光學結晶,非線形光學結晶實施波長轉換,以獲得波長λ3 之雷射光時之λΐ,λ2及λ3的關係如公式[I]: 1/λ1 + 1/λ2= 1/λ3 · · · [I] 如圖11(A)所示,以第一非線光學結晶(KTP :磷酸鉀鈦) 201將鈥:YAG固體脈衝雷射(波長1064 nm) 200之雷射光束 210A予以波長轉換時,將λ1 = λ2= 1064 nm帶入上述公式 中,得到λ3 = 532 nm。繼續,將該波長532 nm與被反射鏡 205所導入之波長1064 nm輸入第二非線形光學結晶(BBO :棚酸鎖)202實施波長轉換時,將λ1= 1064 ηηι,λ2=532 nm 帶入上述公式中,得到諧波光λ3 = 355 nm。此時,未被波 長轉換而殘留之雷射光及諧波光被圖上未顯示之波長分離 反射鏡分離,僅諧波光λ3 = 355 nm入射加工形狀決定機構 内0 該諧波光;I 3被加工形狀決定機構之光整形器203整形成 帶狀、長方形或正方形之任意形狀及尺寸的雷射光束,繼 續入射偏向器206,如電流計掃描器系統204之光學掃瞄組 件,並依據掃瞄指令實施光束掃瞄。此時,諧波光λ3 = 3 5 5 nm可以混合器207與自反射鏡205導入之基本波λΐ = 1064 nm混合。 _-32-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(29 此外,如圖11(B)及圖11(C)所示,可藉由選擇諸波光或 基本波的混合,形成包含各種波長成分的雷射光束。 如上所述,經光諧波調制所形成之高輸出的UV(或DUV) 雷射,與一般固體脈衝雷射比較,以概略相同的波長可獲 得較強的照射能強度,適於熔融非晶質矽膜等。 任何光諧波調制UV或DUV雷射退火均可藉由將雷射光 整形成線狀(如500〜600 mm X 1〇 μπι〜1 mm)、長方形(如 10〜100 mm X 200〜300 mm)、或正方形(如 i00 x !〇〇 mm)並 貝知*照射,以k南照射強度,亦即提高溶融效率及生產 量。 一- 繼續’如1000 X 1000 mm之大面積玻璃基板,如圖7及圖 8所示,可將該面積分割成四部分,對各個面積實施數個光 諧波調制UV或DUV雷射照射。如採用將固定之基板面分割 成四部分,同步以適當的速度使雷射光電流計掃瞄各個面 積的方法(圖7),及同步藉由高精度步進馬達以適當的速度 使基板對固定之四個雷射光移動的方法(圖8)。 如此,亦可以任何之適當速度使基板或雷射移動,藉由 控制加熱熔融及冷卻速度,以形成任何結晶粒徑與任何純 度的多晶性矽膜。 該光諧波調制UV或DUV退火條件(波長、照射強度、照 射時間等)亦可藉由非晶質石夕膜厚、玻璃耐熱溫度、結晶粒 裣(載體移動率)’適切決定最佳化❶以uv或DuV為主體之 雷射光束當然可選擇此等之混合考束、與基本波之混合光 束荨各種波長成分。 -33- 10X297公釐) i紙張尺度適用T關家標準(C4S) A4規格(? 552707 A7 B7 30 五、發明説明( 此外’光諧波調制UV或DUV雷射退火,為求促使基板溫 度均勻化與穩定化以促成晶化膜的均勻化,降低晶化膜及 基板應力,減少雷射能,以促進逐漸冷卻等,宜加熱(紅外 線燈、陶瓷加熱器等)至基板之畸變點以下的溫度,如常溫 〜500°C,更宜為200〜400°C。 <觸媒CVD(或電漿CVD等)與光諧波調制UV或/及DUV雷 射退火的連續處理> 著眼於防止雜質及提高生產性方面,將低度結晶性半導 體薄膜形成步驟或機構(電漿CVD、觸媒CVD、濺射等)與 本發明之雷射退火或退火器予一體化的裝置,宜藉由如 線上(連續處理室)方式(線型、旋轉型)、多處理室方式、簇 團方式等連續或依序實施。 其中更宜採用以下之(1)或(2)項中的簇團方式: (1) 如圖12所示,可採用反覆實施在CVD部形成低度結晶 性半導體薄膜後,以退火器部的本發明之雷射退火予以晶 化,將其送回CVD部,在其上形成低度結晶性半導體薄膜 ’再度以退火器部之本發明之雷射退火實施晶化步驟的簇 團方式一體化裝置。圖13(A)即為採用線上方式者。 (2) 此外,如圖14所示,亦可採用連續在cvD-1部形成底 層保護膜(氧化矽/氮化矽疊層膜),在CVD-2部形成低度結 晶性半導體薄膜後,依需要在離子摻入/離子注入部適量添 加1 V族元素後,以退火器部之本發明之雷射退火予以晶化 ’再度於CVD-3部形成閘極絕緣膜(氧化矽膜等)之作業的簇 團方式一體化裝置。圖13(B)即為採用線上方式者。 552707 A7 B7 五、發明説明(31 ) 另外,在CVD-1部形成之氧化矽/氮化矽疊層膜,亦可為 表面閘型MOSTFT之底層保護膜,或底面閘型MOSTFT之底 面閘極絕緣膜兼保護膜,此外,在CVD-3部形成之氧化矽 膜或氧化矽/氮化矽疊層膜,亦可為表面閘型MOSTFT之閘 極絕緣膜,或底面閘型MOSTFT的保護膜。 此外,上述之CVD亦可為觸媒CVD、電漿CVD等,或亦 可以濺射來取代。CVD可在成膜前實施電漿或觸媒AHA處 理。如以電漿CVD成膜前,不流入原料氣體,藉由僅以氫 系載氣之電漿原子氫退火(AHA ; Atomic Hydrogen Anneal) 處理,除去所形成之多晶性矽薄k表面的雜質(低度氧化膜 、水分、氧、氮、碳酸氣體等),潔淨界面,蝕刻殘留的非 晶質矽成分,形成高晶化率之多晶矽薄膜,將該底層作為 晶種,於潔淨之界面上堆疊之低度結晶性矽膜藉由繼續實 施雷射退火,堆疊形成良好結晶之大粒徑多晶性或單晶性 半導體膜。 另外,為防止氧化及氮化,係在減壓氫或減壓氫系氣體 環境中或真空中實施前述之雷射退火。該環境係氫或氫與 惰性氣體(氬、氦、氪、氙、氖、氡)的混合氣體,氣壓在 1.3 3 Pa以上,未達大氣壓,更宜為133 Pa〜4 X 104 Pa。真空 度在1.3 3 Pa以上,未達大氣壓,更宜為13.3 Pa〜1.33 X 104 Pa 。但是,低度結晶性半導體薄膜表面上有絕緣性保護膜 (氧化矽膜或氮化矽膜或氧氮化矽膜或氧化矽膜/氮化矽膜 疊層膜等)時,或非連續作業時,亦可在空氣中、大氣壓氮 氣中。 _-35-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明(32 另外’由於觸媒CVD及本發明之雷射退火之實施均不產 生電漿’因此,不致因電漿造成損傷,可獲得低應力的產 生膜’且與電漿CVD法比較,容易實現簡單且廉價的裝 置。 另外’於本發明之雷射退火時,如圖15所示,以氧化石夕 膜或氮化矽膜或氧氮化矽膜或氧化矽/氮化矽疊層膜等絕 緣性保護膜235覆蓋低度結晶性矽膜7A的表面,在該狀態 下’實施前述之雷射退火時,於此種覆蓋情況下,藉由反 射減低的效果,前述雷射光束被低度結晶性半導體薄膜有 效吸收,並加熱熔融,因此確f绐成有多晶性矽薄膜7。但 是’未覆蓋時,可能熔融之矽飛濺,因表面張力殘留矽粒 子,而未形成多晶性矽薄膜。 此外,本發明之雷射退火之低度結晶性半導體薄膜的晶 化處理時,施加磁場或電場,或磁場及電場,在此作用下 實施退火時,可集中晶粒的結晶方位。 例如,施加磁場時,如圖丨6所示,在收納Uv或雷射 掃瞄裝置204與基板1之真空容器211的外圍設置永久磁石 231或電磁石232,在此種磁場的作用下實施本發明之雷射 退火。 如此,如在磁場的作用下於低度結晶性矽薄膜7A上實施 本發明之雷射退火時,先行熔解之矽薄膜7A之矽原子的電 子自旋與磁場相互作用,朝向—定方向,自該狀態因冷卻 而凝固時,料結晶方位集中。由於如此被晶化之膜概略 集中在結晶方位,因此,包含晶界之電子勢壘降低,載體
552707 A7
移動率變大。此時,重要的是將結晶方位集中在一定方向 ’因應石夕原子之外殼執道的構造,結晶亦可能集中在所獲 得之以多晶矽薄膜7的垂直方向,或是亦可能結晶方位集ς 在水平方向。藉由晶粒集中,多晶矽薄膜的表面無凹凸, 薄膜表面形成平坦化,與連接此所形成之閘極絕緣膜等之 間的界面狀態良好,載體移動率獲得改善。 而由於用於在該磁場作用下之本發明之雷射退火的掃描 器204係收納在真空容器211内,因此其照射效率佳,可二 分發揮雷射掃猫特有的上述作用。 圖17係顯示一種以電源233施一加電場以取代上述磁場,在 收納掃描器204與基板1之真空容器2丨丨的外圍設置施加高 頻電壓(或直流電壓或此等兩者)的電極234 ,在此電場的作 用下實施本發明之雷射退火。 此時,先行熔解之低度結晶性矽薄膜7A中之矽原子的電 子自旋與電場相互作用,朝向一定方向,自此種狀態因冷 卻而凝固時,實施包含一定方向的晶化。此與上述磁場時 同樣的,晶粒集中在一定方向,載體移動率提高,且表面 的凹凸亦減少。此外,雷射光束2丨〇的照射效率亦佳。 圖1 8係一種除上述磁場之外亦同時施加電場,與收納掃 描器204與基板1之真空容器211外圍之永久磁石231(亦可 為電磁石)的磁場同時,在施加高頻電壓(或直流電壓或此 等兩者)之電極234之電場同時作用條件下實施本發明之雷 射退火。 此時’先行熔解之低度結晶性矽薄膜7A之矽原子的電子
____ —_ - 37 - 本紙張尺度適標準(CNS) Μ規“㈣297公茇)----J 552707 A7 B7 五、發明説明(34 ) 自旋在磁場與電場相互作用下朝向一定方向,自此種狀態 因冷卻而凝固時’藉由磁場與電場的相乘積作用,實施更 具方向性的晶化。因此,晶粒更容易集中在在一定方向, 載體移動率進一步提高,且表面凹凸亦進一步減少。此外 ,雷射光束210的照射效率亦佳。 <表面閘型CMOSTFT之製造> 其次’顯示一種使用本實施形態之光諧波調制UV雷射退 火之表面閘型CMOSTFT的製造。 首先’如圖1之(1)所示,在侧石夕酸玻璃、紹石夕酸玻璃、 石英玻璃、晶化玻璃等絕緣基之至少MOSTFT形成區域 上,藉由電漿C VD、觸媒C VD、減壓C VD等汽相生長法, 在下述條件下形成包含保護用氮化矽膜及氧化矽膜之疊層 膜的底層保護膜100(以下均同)。 此時,依MOSTFT形成之處理溫度選擇使用玻璃材質。 於2 00〜5 00 °C的低溫時:亦可使用硼矽酸、鋁矽酸玻璃 專玻璃基板(如500 X 600 X 0.5〜1·1 μιη厚)、耐熱性樹脂基 板。 於600〜l〇〇〇°C的高溫時:亦可使用石英玻璃、晶化玻璃 等耐熱性玻璃基板(如6〜12吋φ,700〜800 μπι厚)。由於保護 用氮化矽膜係用於避免自玻璃基板摻雜鈉離子而形成,因 此使用合成石英玻璃時不需要該氮化矽膜。 此外,使用觸媒CVD時,雖可使用圖5及圖6所示之相同 的裝置’但是為防止觸媒體的氧化老化,須供給氫系載氣 ’將觸媒體加熱至特定溫度(約丨6〇〇〜1800°C,如約1700。〇) ------- -38- 本紙張尺度❹Η家標準(CNS) M規格(21GX297公爱)--
裝 訂
552707 A7 B7 五、發明説明(35 ) ,成膜後將觸媒體冷卻至不致產生問題的溫度,阻斷氫系 載氣。 成膜條件為在處理室内持續流入氫系載氣(氫、氬+氫、 氦+氫、氖+氫等),並將流量與壓力、承受器溫度控制在 下述的特定值: 處理室内壓力 :約0.1〜10Pa,如IPa
承受器溫度 :35(TC 氫系載氣流量(混合氣體時,氫為80〜90莫耳%)
:100 〜200SCCM 此外,氮化矽膜在以下條件〒形成50〜200 nm厚。 以氫作為載氣,並以適量比率在曱矽烷(SiH4)内混合氨 (NH3)以形成原料氣體。
氫流量:100〜200SCCM,SiH4流量:1 〜2SCCM,NH3流 量:3〜5SCCM 此外,氮化矽膜在以下條件下形成50〜200 nm厚。 以氫作為載氣,並以適量比率在曱矽烷(SiH4)内混合氦 (He)稀釋氧(02)以形成原料氣體。
氫流量:100〜200SCCM,SiH4流量:1〜2SCCM,氦稀釋 氧流量:0.1〜1SCCM 另外,以RF電漿CVD成膜時的條件如下: 氧化矽膜以SiH4流量:5〜10SCCM,N20流量:1000SCCM ,氣壓:50〜70 Pa,RF能:1000 W,基板溫度:350°C形 成。 此外,氮化矽膜以SiH4流量:50〜100SCCM,NH3流量: ___-39-__ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 552707 A7 |____ B7 五、發明説明(36 ) 200〜25 0SCCM,>12流量:700〜1000SCCM,氣壓:50〜70 Pa ,RF能:1300 W,基板溫度:250°C形成。 其次如圖1之(2)所示,藉由觸媒CVD或電漿CVD或濺射 等,形成50 nm厚之摻雜1〇18〜i〇2〇 atoms/cc(亦可於CVD時 或藉由成膜後的注入離子摻雜)之錫或鎳等觸媒元素的低 度結晶性矽薄膜7 A。但是,未必需要摻雜該錫或鎳(以下 均同)。繼續形成10〜30 nm厚的保護及降低反射用氧化矽 膜。 此時,使用圖5及圖6所示的裝置,藉由上述觸媒CVD, 在下述條件下,使作為低度結H生半導體薄膜之如摻雜錫 或鎳的低度結晶性矽薄膜汽相生長。摻雜錫時,可供給下 述的氣體,摻雜鎳時,可藉由離子注入或離子摻雜法,於 薄膜形成後摻雜。 以觸媒CVD形成含非晶質石夕之微結晶石夕的膜: 以氫為載氣’以適量比率混合曱矽烷(SiH4)及氫化錫
(SnH4)形成原料氣體^氫流量:15OSCCM,SiH4流量:15SCCM ,S11H4流量15SCCM。此時,亦可藉由在原料氣體之矽烷 系氣體(矽烷或乙矽烷或丙矽烷等)内適量混入η型鱗或石申 或銻等,或適量混入ρ型硼等,形成含任意之型雜質載 體濃度之錫的矽膜。 η型化時:PH;(三氫化磷)、AsH3(三氫化砷)sbHs(三氫化銻) P型化時:B2H6(乙硼烷) 另外’在同一處理室内形成上述各膜時,可持續供給氣 系載氣,將觸媒體預先加熱至特定溫度待用,並實施如下 ___ -40-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) --------- 552707 A7
•41 - 的處理。 J曱=:適當比率混合氨,以形成特定膜厚的氮化 合”统與=;Γ:4氣體等之後,繼續以適當比㈣ 出前述原料氣體等U切定料的氧切膜,徹底拼 化錫,m 4…後’繼續以適量比率混合甲矽烷與負 底排出二辻:定膜厚之錫之非晶質矽的微結晶矽膜,撤 原成特定膜厚的氧切膜。形成膜後,阻斷 李載氣/1觸媒體冷卻至不致發生問題的溫度,阻斷氫 加::。二亦可使絕緣膜— 形成時的原料氣體減少或增 :::層:為傾斜接合之複合或疊層絕緣膜,一 碎:二Γ各自獨立的處理室形成時,可在各處理室内持 :供,.。虱系載氣,將觸媒體預先加熱至特定溫度待用,實 P下的處理。移至A處理室,在甲Θ垸内以適量比率混 口氨I成特定膜厚的氮化矽膜。繼續移至B處理室,在甲 石夕烧内以適量比率混合氦稀釋氧,以形成氧化石夕膜。繼續 女:至C處理室,以適量比率混合甲矽烷與氫化錫,形成含 3錫之非晶質石夕的微結晶石夕膜。繼續移至b處理室,在甲 石夕院内以適量比率混合氦稀釋氧以形成氧切膜^形成膜 後’阻斷原料氣體,將觸媒體冷卻至不致發生問題的溫度 ’ ^斷氫系載氣。此時,亦可在各處理室内持續供給氣系 載氣與各原料氣體,以形成待用狀態。 以RF電漿CVD形成低度結晶性矽膜的條件為,SiH4 : 本紙張尺度適用中@ _準(⑽)A4規格_χ撕公董)
裝 訂
552707 A7 B7 五、發明説明(38 ) 100SCCM ’ 氫:100SCCM ’ 氣壓:1 ·33 X 1〇4 Pa,RF能:1〇〇 ψ ,基板溫度:350°C。 繼續,如圖1之(3)所示,進行本發明的雷射退火。如在 大氣壓氣氣中’以非線形光學結晶1 / 3光譜波調制敛·· γ a g (1064 nm)之波長為355 nm的UV雷射光束210,如圖7所示的 以照射能密度300〜500 mJ/cm2照射,使非晶質石夕或微結晶 石夕膜7 A處於溶融或半溶融狀態,藉由逐漸冷卻,以不含 觸媒元素的大粒徑,形成50 nm厚之高晶化率的多晶性石夕 膜7 〇 此時’如圖7中所示,達成促晶化功能之觸媒元素及其 他雜質元素被吸出(離析)至掃瞄終端之高溫的矽熔融帶或 半熔融帶7B,予以除氣,形成有如觸媒元素及雜質元素濃 度降低為1 X 1015at〇ms/cc以下之高純度的大粒徑多晶性 矽膜。 此外’此時亦可藉由以數個光諧波調制UV雷射光的照射 連續、反覆實施矽熔融或半熔融與冷卻的所謂多重帶精製 法,進一步高晶化,亦可促進觸媒元素及其他雜質元素的 除氣’以達到高純度化。而由於多晶性石夕的結晶軸集中在 該由射掃猫方向上,因此晶界的不均勻減少,可提高載體 移動率。 亦可於本發明之雷射退火前,藉由在膜7A中注入離子或 推雜離子,預先摻雜觸媒金屬(鎳等)^此外,進行本發明 之雷射退火時’低度結晶性石夕膜表面存在保護用之氧化石夕 膜或氮化矽膜或氧氮化矽膜或氧化矽/氮化矽疊層膜等時 本紙張尺度適财A4iW2i()x2=M-------- 552707 A7 -- ——----------B7 五、發明説明(39 ) ’可避免退火時熔融的矽飛濺,《因表面張力而形成矽結 晶粒(塊),獲得良好的多晶性矽膜。 此外,為求降低基板溫度上昇與促進晶化,將低度結晶 性石夕膜島狀化後,或將以保護用氧化石夕膜覆蓋之低度 結晶性矽膜予以島狀化後,即使實施本發明之雷射退火, 仍可獲得良好之多晶性石夕膜。 此外,以適當條件,於後述之閘極通道/源極/汲極區域 形成後實施前述雷射退火時,於促進晶化的同時,由於注 入閘極通道/源極/汲極區域之n型或p型載體雜質(磷、砷、 棚等)被活化,因此生產性良好Γ ; Μ續,製作將多晶性矽膜7作為源極、通道及汲極區域的 MOSTFT 〇 亦即,如圖2之(4)所示,以一般光刻及蝕刻技術除去保 護及降低反射用氧化矽膜,再將多晶性矽膜7予以島狀化後 ,為控制nMOSTFT用之通道區域的雜質濃度使臨限值(ν^) 最佳化,以光阻9將pMOSTFT部作為掩模,藉由注入離子 或推雜離子,如以5 X loHatoms/cm2的劑量彳參雜p型雜質離 子(如蝴離子)10’設定1 X 1〇17 at〇nis/cc的受體濃度,形成 將多晶性矽膜7之導電型予以p型化的多晶性矽膜丨j。 繼續,如圖2之(5)所示,為控制pMOSTFT用之通道區域 的雜質》辰度使6¾限值(Vth)最佳化,係以光阻12將nMOSTFT 部作為掩模,藉由注入離子或摻雜離子,如以1 χ丨〇I2 atoms/cm2的劑量摻雜η型雜質離子(如磷離子)13,設定2 χ 1017 atoms/cc的施體濃度,形成將多晶性矽膜7之導電型予 -43- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(40 ) 以η型化的多晶性矽膜14。 繼續,如圖3之(6)所示,藉由觸媒CVD等形成厚度為50 nm 之閘極絕緣膜的氧化矽膜8後,如藉由供給2〜20SCCM之 PH3及20SCCM之SiH4之與前述相同的觸媒CVD法,堆積膜 厚约400 nm之作為閘極材料的摻雜磷之多晶石夕膜15。 繼續,如圖3之(7)所示,將光阻16形成特定圖案,以此 作為掩模,將摻雜磷之多晶矽膜15予以圖案化成閘極形狀 ,繼續,於除去光阻16後,如圖3之(8)所示,藉由觸媒CVD 等形成厚度為20 nm的氧化矽膜17。 繼續,如圖3之(9)所示,以克阻18將pMOSTFT部作為掩 模,藉由注入離子或摻雜離子,如以1 X 1〇15 atoms/cm2的 劑量摻雜η型雜質之碳離子19,設定2 X 1 〇2G atoms/cc的施 體濃度,分別形成nMOSTFT之n+型源極區域20及汲極區域 21。 繼續,如圖4之(10)所示,以光阻22將nMOSTFT部作為掩 模’藉由注入離子或摻雜離子,如以1 X 1〇15 atoms/cm2的 劑量摻雜p型雜質之硼離子23,設定2 X l〇2G atoms/cc的受 體濃度,分別形成pMOSTFT之p+型源極區域24及汲極區域 25。之後,在氮中藉由約以900°C實施約5分鐘退火,使摻 雜在各區域内之雜質離子活化,設定被分別設定的雜質載 體濃度。 如前述的形成閘極、源極及汲極,不過此等亦可以上述 處理以外的方法形成。 亦即’在圖1之(2)步驟後,在pMOSTFT與nMOSTFT區域 -44 -__ 本紙張尺度適用中國國家襟準(CNS) A4規格(21〇x297公釐) 裝 訂
B7 五、發明説明(41 内將低度結晶性矽膜7A予以島狀化。再藉由一般光刻及蝕 刻技術,以氟酸系蝕刻液除去保護及降低反射用氧化矽膜 ,以CF4、SF6等電漿蝕刻選擇性除去含非晶質矽的微結晶 石夕膜,以有機/谷劑專剝離洗淨光阻。由於以因繼續實施本 發明之雷射退火時之雷射光束照射,溫度急遽上昇之矽熔 融與冷卻時的應力所形成之多晶性矽膜上容易發生裂縫, 因此,為降低基板溫度上昇,亦需要島狀化。本發明之雷 射退火前之島狀化著眼於減少散熱,延遲矽熔融帶的冷卻 以促進結晶生長時,係降低不需要之矽熔融帶的基板溫度 上昇。 一一 繼續’與上述同樣的’對低度結晶性矽膜7A實施本發明 之雷射退火後,除去保護及降低反射用氧化矽膜,與上述 同樣的以光阻掩模,在pMOSTFT區域内藉由離子注入或離 子摻雜法’如以1 X 1012 atoms/cm2的劑量摻雜η型雜質的鱗 離子,設定2 X 1017atoms/cc的施體濃度,在nM〇STFT區域 内,如以5 X 10 atoms/cm2的劑量摻雜p型雜質的硼離子, 設定1 X 1017atoms/cc的受體濃度,控制各通道區域的雜質 濃度,將Vth予以最佳化。 繼續,藉由一般光刻技術以光阻掩模形成各源極/汲極區 域。為nMOSTFT時,藉由離子注入或離子摻雜法,如以1 X 1015 atoms/cm2的劑量摻雜η型雜質之如砷、磷離子,設定2 X 1〇20 atoms/cc的施體濃度,為pMOSTFT時,藉由離子注 入或離子摻雜法,如以1 X 1 〇15 atoms/cm2的劑量摻雜p型雜 質之如棚離子,設定2x 1020atoms/cc的受體濃度。 __ -45- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(42 ) 之後,藉由照射能低於使多晶性矽膜中之η型或p型雜質 活化之晶化處理的本發明之雷射退火或i素燈等紅外線燈 的迅速熱退火(RTA ; Rapid Thermal Anneal),以約 1000°C ,3 0秒的熱處理,實施閘極通道區域、源極及汲極區域之 雜質離子活化。之後(或雜質活化處理前)形成氧化矽膜作 為閘極絕緣膜,不過依需要係連續形成氮化矽膜與氧化矽 膜。亦即,藉由觸媒CVD法,在氫系載氣與甲矽烷内以適 量比率混合氦稀釋氧,形成厚度為40〜50 nm的氧化矽膜8 ,依需要在氫系載氣與曱矽烷内以適量比率混合NH3,形 成厚度為10〜20 nm的氮化矽膜再於前述條件下堆疊形成 厚度為40〜50 nm的氧化石夕膜。 繼續,如圖4之(11)所示,藉由與上述相同的觸媒CVD法 ,供給之氫系載氣均為150SCCM,並供給1〜2SCCM之氦氣 稀釋的氧,15〜20SCCM之曱矽烷下,全面形成厚度如為50 nm的氧化矽膜26,繼續於供給1〜20SCCM之PH3、1〜2SCCM 之氦稀釋氧、15〜20SCCM之甲矽烷下,全面形成厚度如為 400 nm的磷化氫矽酸酯玻璃(PSG)膜28,供給50〜60SCCM 之NH3,15〜20SCCM之甲矽烷下,堆疊厚度如為200 nm的 氮化矽膜27。 繼續,如圖4之(12)所示,在上述疊層絕緣膜的特定位置 開設接觸孔。亦即,藉由一般光刻及蝕刻技術,以光阻圖 案形成nMOSTFT及pMOSTFT之閘極、源極、汲極孔,以CF4 、sf6等電漿蝕刻鈍化用氮化矽膜,以氟酸系蝕刻液蝕刻氧 化矽膜及PSG膜,以有機溶劑等洗淨除去光阻,露出形成 _-46-__ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(43 ) nMOSTFT及pMOSTFT的閘極、源極、汲極區域。 繼續,在整個包含各接觸孔的面上,以丨賤射法等,在 150 °C下,堆積厚度為1 μηι之含1% Si的鋁等電極材料,將 此予以圖案化,形成pMOSTFT及nMOSTFT之各個源極、或 汲極29(S或D)與閘極取出電極或配線30(G),形成表面閘型 之CMOSTFT。之後,在組成氣體中實施400〇C,1小時的氫 化處理及燒結處理。另外,亦可藉由觸媒CVD法供給鋁化 合物氣體(如A1C13),以形成鋁。 另外,亦可全面形成厚度為100〜500 nm之鉬钽合金等耐 熱性金屬的濺射膜,藉由光刻及蝕刻技術,形成 nMOSTFT及pMOSTFT的閘極,以取代形成上述的閘極。 另外,以下說明一種使用矽合金熔融液之液相生長法與 本發明之雷射退火之表面閘型多晶性矽CMOSTFT的製法 時,首先,於上述底層保護膜形成後,以下述任一種方法 ,使含有含或不含錫之非晶質矽之微結晶矽層(析出)生長 後,除去其上之錫等低融點金屬膜。 塗敷含矽之錫等低融點金屬熔融液後,使其冷卻。 浸潰於含矽之錫等低融點金屬熔融液内後,再取出使其 冷卻。 將含矽之錫等低融點金屬膜予以加熱熔融後,使其冷 卻。 在矽膜上形成錫等低融點金屬膜後,使其加熱熔融及冷 卻〇 在錫等低融點金屬膜上形成矽膜後,使其加熱熔融及冷 _-47-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
線 552707 A7
卻。 繼續,將含有含或不含錫之非晶質矽之微結晶矽層予以 島狀化,分割成pMOSTFT部與nM0STFT部,藉由離:注入 或離子摻雜法,控制通道區域的雜質濃度,將Vth予以最= 化(其條件準上述者)。之後,藉由離子注入或離子摻雜法 ,形成PMOSTF丁部與nM0STF丁部的源極、汲極(盆條件準 上述者)。 + 繼續,以本發明之雷射退火實施晶化促進與離子活化 (其條件準上述者)。繼續藉由觸媒CVD形成閘極絕緣膜的 氧化矽膜,不過,依需要繼續—形成氮化矽膜及氧化矽膜 (其成膜條件準上述者)。之後的處理與上述者相同。此外 ,使用該液相生長法的方法如為後述之底面閘型或雙閘型 CMOSTFT等時,亦同樣適用。 以下說明一種使用濺射法之低度結晶性矽膜之本發明之 雷射退火之表面閘型多晶性矽CMOSTFT的製法,首先,以 濺射法形成上述的底層保護膜。亦即,在整個絕緣性基板 上,於氬氣壓0.133〜1.33 Pa的真空中濺射氮化矽靶子,形 成厚度為50〜200 nm的氮化矽膜,在整個該氮化矽膜上,於 氬氣壓0.133〜1·33 Pa的真空中濺射氧化矽靶子,形成厚度 為100〜200 nm的氧化石夕膜。 繼續,於氬氣壓0.133〜1.33 Pa的真空中濺射含〇·丨〜! at% 錫或不含錫之矽靶子,在絕緣性基板之至少TFT形成區域 上形成厚度為50 nm之如含錫或不含錫的非晶質石夕膜。 繼續’在整個該非晶質石夕膜上,於氬氣壓〇 133〜pa
45 五、發明説明( 的真空中濺射氧化矽靶子, 膜。 J ;又為10〜3〇 nm的氧化矽 另外’亦可在共通的石夕乾子 〜 (5~1〇莫耳%)的濺射形成氮化 兄/職+鼠氣 耳⑹的濺射形成氧化_,氣+氧氣(5〜10莫 ,異以备#丄"产 以虱乳的濺射形成非晶質矽膜 …乳氣(5〜10莫耳%)的_ 、 I續2所形成之含錫或不含錫之非晶質㈣予以 二,二成PMOSTFT部與nM0STFT部(條件準汽相生長法 時)。之後,注入離子或摻雜離子,形成開 汲極區域(條件準汽相生長法時—)_。 極 繼f ’對含錫或不含錫之非晶質矽膜實施前述之雷射退 火。藉由該雷射退火,予以多晶性石夕膜化,同時將注入離 子或摻雜離子之n型或p型雜質予以活化,形成閘極通道、 源極、沒極區域之最適切的載體雜質濃度。此外,與上述 同樣的,當然亦可分別實施晶化之雷射退火與離子活化之 RTA處理。 繼續,除去保護及降低反射用之氧化矽膜,形成作為閘 極絕緣膜的氧化矽膜,不過依需要繼續形成氮化矽膜及氧 化矽膜。亦即,藉由觸媒CVD法等,連續形成厚度為4〇〜5〇 nm的氧化石夕膜、厚度為10〜20 nm的氮化石夕膜、及厚度為 40〜50 nm的氧化矽膜(成膜條件準上述者)。 以後的處理與上述者相同。此外,使用該濺射膜的方法 ,如為後述之底面閘型或雙閘型CMOSTF丁等時,亦同樣適 用0 552707 A7 -—__B7 五、發明説明( ) v 46 / 另外,藉由反覆必要次數的形成上述低度結晶性矽膜與 f發明之雷射退火,由於可形成接近高晶性、高純度之單 晶性矽的大粒徑多晶矽厚膜,因此,適用於需要CCD面性/ 線性感測器、雙極LSI、太陽電池等厚膜的裝置。亦即,藉 由第一次本發明之雷射退火,形成如厚度為2〇〇〜3〇〇 nm6J 大粒徑多晶矽膜。繼續在其上堆疊厚度為2〇〇〜3〇〇 nm的低 度結晶性矽膜。繼續藉由第二次本發明之雷射退火,將底 層臈作為晶種,如堆疊形成厚度為2〇〇〜3〇〇 nm的大粒徑多 晶性矽膜,形成約4〇〇〜6〇〇 nm的大粒徑多晶性矽膜。藉由 將此種步驟反覆必要次數,可每疊形成卜❿單位膜厚的大粒 拴夕曰曰性矽厚膜。另外,該厚膜亦包含在本發明之「多晶 性石夕薄臈」的概念内。 於此種堆唛時,底層之大粒徑多晶性矽膜形成以下本發 7之雷射退火時的結晶核(晶種),並逐漸堆疊更大粒徑的 f晶性矽薄膜,因此愈接近厚膜的表面,愈可形成接近高 曰曰丨生同純度之單晶石夕之大粒徑多晶性石夕厚膜。因此,除 MOSLSI之外,亦適用於—般之需要將厚膜表面作為主動及 無源元件區域之CCD面性/線性感測器、雙極LSI、太陽電 池等厚膜的裝置。 [I]另外,於上述島狀化後實施本發明之雷射退火時,可 實施以下(1)〜(4)之任何一項的處理。 (1)低溫處理(A)時,將附氧化矽(以下稱以〇2)/氮化矽(以 下稱SiNx)登層膜之非晶質石夕膜(以下,如非晶質石夕膜)予以 圖案化及島狀化。以本發明之雷射退火予以多晶矽化後, -:---- - 50 本紙張尺度適財目时料(^I^(21Q X 297公釐) 552707 A7 B7 五、發明説明(47 ) 僅剝離SiNj,堆疊Si02或Si02/SiNj,形成閘極絕緣膜 之Si〇2或Si〇2/SiNx/Si02疊層膜。此時所謂之低溫處理,係 指在基板上使用硼矽酸玻璃、鋁矽酸玻璃等低畸變點玻璃 (以下均同)。此外,由於氮化矽膜係以電漿CVD等低溫形 成膜’並非完全之Si3N4,因此以SiNx表示(以下均同)。 (2) 低溫處理(B)時,將附Si〇2(或SiNx)膜之非晶質石夕膜予 以圖案化及島狀化。以本發明之雷射退火予以多晶矽化後 ’剝離Si02(或SiNx)膜,形成閘極絕緣膜之si〇2*Si〇2/
SiNx/Si02#層膜。 (3) 低溫處理(〇時,將非晶質矽膜予以圖案化及島狀化後 ,貫施本發明之雷射退火,之後,形成閘極絕緣膜之Si02 或 Si02/SiNx/Si02疊層膜。 (4) 高溫處理(A)時,將非晶質矽膜予以圖案化及島狀化 後,貫施本發明之雷射退火,之後,以高溫(1〇〇〇。〇,3〇分 名里)的熱氧化作用,使多晶性矽膜表面氧化,以形成閘極 絕緣膜。此時所謂之高溫處理,係指使用石英玻璃(以下均 同)。 [II]此外,於島狀化前實施本發明之雷射退火時,可實施 以下(1)〜(4)之任何一項的處理。 (1) 低溫處理(D)時,將附Si〇2/SiNx之非晶質矽膜實施本 發明之雷射退火後,予以圖案化及島狀化。之後,僅剝離
SiNj,堆疊Si〇ASi〇2/SiNj,形成閘極絕緣膜之叫 或Si02/SiNx/Si02$層膜。 (2) 低溫處理(E)時,將附Si〇2(或SiNx)膜之非晶質矽膜實
552707 A7
552707 A7 B7 五、發明説明(49 ) " ---- 早晶性半導體薄膜,可大幅提高生產性及大幅降低成本。 、(b)由於本發明之雷射退火藉由使上述加熱帶移動同時 進行的所謂帶精製法,為促進晶化而預先添加,達成其功 月:之鎳等觸媒元素及其他雜質元素在高溫的熔融帶離析, 办易除去,而不殘留在膜中,因此,容易獲得大粒徑之高 載體移動率、高品質之多晶半導體薄膜。再者,此時藉由 以數個本發明之雷射光束照射,連續、反覆熔融帶與^卻 ^之所謂的多重帶精製法,可獲得更大粒徑、更高品質的 夕曰日f生半導體薄膜。藉由該高純度化,不損及半導體特性 ,而提南製作之元件的穩定性_及可靠性。繼續藉由光諧波 调制UV或/及DUV雷射退火中之所謂帶精製法或多重帶精 製法的簡單處理,可有效除去達成促進晶化功能之觸媒元 素及其他元素,因此可藉由減少工時而降低成本。 (0由於多晶性矽等結晶粒集中在雷射掃瞄方向,因此藉 由在該方向形成TFT,可降低晶界的不均勻及膜應力,^ 形成高載體移動率的多晶性矽膜等。 (d) 藉由光諧波調制UV或/及DUV雷射退火之帶精製法或 多重帶精製法而晶化之多晶性矽等膜上堆疊低度結晶性矽 等膜’再度藉由反覆以該雷射退火予以晶化的方法,可以 μπι單位之厚度堆疊形成大粒徑之高載體移動率、高品質的 多晶性石夕膜等。藉此,除MOSLSI之外,亦可形成高性能、 高品質之雙極LSI、CMOS感測器、CCD面性/線性感測器、 太陽電池等。 (e) 光諧波調制UV或/及DUV雷射藉由控制其波長、照射 [_____ -53- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7
照射時間等,並聚光整形成線狀、長方形或正方形 ,可任意設定雷射光束徑及雷射掃聪間距等,促進照射強 度,亦即熔融效率及生產量提高而降低成本。且藉由①使 雷射光在岐之基板上進行電流計掃猫,②藉由對固定之 雷射光,使基板以高精度步進馬達實施步進及反覆移動等 的加熱熔融及冷卻方法,再藉由數個雷射同步㈣,亦可 以短時間實施大面積(如! m x ! m)退火,可獲得大面積之 任意結晶粒及純度之多晶性矽膜等’因此可提高生產性, 降低成本。 ⑴滿足紫外線區域之光源包—备·· He.Cd(氛·編)雷射、心 (氬)雷射 '準分子雷射(氟化氬(ArF)、氟化氪(KrF)、氣化 氣(XeCl)、敗化氣(XeF)等)等,均為具有藉由氣體放電而振 盪之紫外線區域之短波長的雷射裝置。尤其是準分子雷射 裝置,係使用反應性極高之具危險性的_素氣體作為原料 氣體,在保修及處理等上會有問題,且原料氣體更換頻率 局’在營運成本及作業效率上亦有問題,以至裝置體積大 、耗電大且價格高。反之釔非線形光學結晶產生光諧波的 UV或/DUV雷射,由於係以高輸出之半導體雷射激勵ΥΑ(} (鈦· YAG,添加敛之纪紹石權石)雷射作為基本波,因此 安全且保修容易,顯示穩定的高輸出,形成體積小、耗電 低,且廉價的雷射裝置。如使用以非線形光學結晶將敍: YAG等半導體激勵固體雷射予以光諧波調制所產生之355 nm雷射光束的退火裝置,遠比目前主流之氣化氙(XeCi :波 長308 nm)準分子雷射退火裝置之準分子雷射振盪器價廉 ___ -54- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
線 552707
,因此可大幅降低成本。 (g) 由於氣化氙、氟化氪等準分子雷射退火處理係使用 nsec尺寸的脈衝振盪型雷射,因此其輸出的穩定性有問題 ,且發現有照射面之能量分布不平均、獲得之晶化半導體 膜不平均、各TFT之元件特性不平均。因&,係採用賦予 約40(TC溫度,並實施5次、3〇次等多次準分子雷射脈衝照 射的方法,不過仍因照射不平均而造成晶化半導體膜及 TFT元件t性不平均,因生產量降低造成生產性降低,以 致成本提7¾。反之,光諧波波長UV*/&DUV雷射退火, 由於可任意選出非晶質矽膜之羌吸收效率高之2〇〇〜4〇〇 波長,實施高輸出單一波長的雷射光束照射,因此照射面 之能量分布不平均、獲得之晶化半導體膜不平均、各τρτ 之元件特性不平均現象少,可因高生產量之高生產性而降 低成本。 (h) 本發明使用之光諧波調制UV或/及DUV雷射藉由選擇 及、纟◎合基本波與非線形光學結晶,容易控制波長及照射強 度等,可任意選出非晶質矽膜之光吸收效率高之2〇〇〜4〇〇 nm波長,實施高輸出單一波長的雷射光束照射。可使用之 光谐波調制雷射包含:300〜400 nm之近紫外線(uv)與 200〜300 nm的遠紫外線(DUV卜此時,除半導體固體雷射 之外,亦可將藉由氣體放電而振盪的雷射作為基本波,以 光諧波調制產生UV或/及DUV雷射。例如,近紫外線雷射 包含··鈥·· YAG(波長1064 nm)之1/3諧波的355 nm、氦-氛 (波長632.8 nm)之1/2諧波的316.4 nm、氦-氖(波長丨15 μπι)
裝 訂
線 552707 A7 B7 五 發明説明( 52 之1/3諧波的383.3 nm、紅寶石(波長694.3 nm)之1/2諧波的 347.2 nm等,遠紫外線雷射包含··氬(波長514 $ nm, 488 nm) 之 1/2諧波的 257.8 nm,244 nm、氪(波長 520.8 nm,476.2 nm) 之 1/2諧波的 260.4 nm,238.1 nm、氦-鎘(波長 441.6 nm)之 1/2諧波的22〇·8 nm等。 (i) 再者,由於可將照射雷射光自由聚光整形成線狀、長 方形或正方形等,來實施雷射光束照射,因此,照射面之 此量分布不平均、獲得之晶化半導體膜不平均、各TFT之 元件特性不平均現象少,可因高生產量之高生產性而降低 成本。 一 (j) 例如,以第三諧波產生之波長為355 nm的UV雷射光束 ,使低度結晶性半導體薄膜熔融及冷卻而晶化時,由於可 同時照射波長1064 nm之基本波的紅外線雷射光束、或第二 谐波之波長5 3 2 nm之的可見光線雷射光束、或其紅外線雷 射光束及可見光線雷射光束的混合雷射,將低度結晶性半 導體薄膜及玻璃基板予以加熱,因此容易確實實施晶化, 使半導體膜及基板徹底被加熱。又因不捨棄基本波及第二 諧波,可有效使用此等,因此可降低電阻加熱或鹵素燈等 之基板加熱電力,可降低整體的耗電。 (k) 由於光諧波調制UV或/及DUV雷射退火可適用在低溫 (200〜400 C )’因此可採用廉價且大型化容易之低畸變點玻 璃及耐熱性樹脂,以達到減輕重量與降低成本。 (l) 除表面閘型之外,即使底面閘型、雙閘型]VIOSTFT亦 可獲得高載體移動率之多晶性半導體膜或單晶性半導體膜 -56- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 五、發明説明
等’可製造使用該高性能之半導體膜之快速'高電流密度 的半導體裝置、電氣光學裝置甚至高效率的太陽電池等。 例如,可製造石夕半導體裝置、石夕半導體積體電路裝置、電 致發射顯示器(fed)裝置、⑪.錯半導體裝置 .鍺半導體 積體電路裝置、液晶顯示裝置、電致發光(有機/無機)顯示 裝置、發光聚合物顯示裂置、發光二極體顯示裝置、光感 測器裝置、CCD面性/線性感測器裝置、⑺则感 、太陽電池裝置等。 第二種膏施形態 < LCD之製造例1 > -一 本實施形態係在使用高溫處理之多晶性石夕m〇stf丁的 LCD(液晶顯示裝置)上應用本發明,以下顯示其製造例。 首先,如圖19之⑴所示,像素部及外圍電路部於石英 玻璃、晶化玻璃等耐熱性絕緣基板61(畸變點物Μ、 ,厚度為50微米〜數嶋)的一個主面上,藉由上述觸媒⑽ 法等,形成底層保護膜100(其省略圖式:以下均同)後, 其上藉由上述觸媒CVD等形成低度結晶性矽膜。再依 要形成厚度為10〜30 nm的保護及降低反射用氧化矽膜。 繼續,如圖19之(2)所示’在低度結晶性矽膜67八上 上述的雷射退火,形成厚度為5〇nm的多晶性矽膜π。 繼續’如圖19之(3)所示,除去保護及降低反射用氧化石夕 膜後,籍由一般光刻及蝕刻技術,將多晶性矽膜”予以 案化(島狀化),形成電晶體、二極體等主動元件、電阻、 電容 '電感等無源元件的活性層。另外以下的處理,係救 本紙張尺度適财@ @家辟(_
裝 訂
552707 A7 __^___B7 五、發明説明(54 ) 述TFT製作,當然其他元件的製作亦同。 繼續,為求控制多晶性矽膜67之各通道區域的雜質濃度 使Vth最佳化,注入或摻雜與上述同樣之硼或磷等特定雜質 離子後,如圖19之(4)所示,如藉由與上述同樣的觸媒CVD 法等,在多晶性矽膜67的表面形成厚度如50 nm之閘極絕緣 膜用的氧化矽膜68。以觸媒CVD法等形成閘極絕緣膜用的 氧化矽膜68時,基板溫度及觸媒體溫度與上述相同,不過 氦稀釋氧流量可為1〜2SCCM,曱矽烷氣體流量可為 15〜20SCCM,氫系載氣可為150SCCM。 繼續,如圖20之(5)所示,閘及閘極線材料,係如以濺 射法使鉬钽合金堆積厚度為400 nm,或如藉由在氫系載氣 15 0SCCM、2〜20SCCM之PH3及20SCCM之甲矽烷氣體供給 下之與上述相同的觸媒CVD法等,使摻雜磷之多晶矽膜堆 積厚度為400 nm。繼續藉由一般光刻及蝕刻技術,將閘極 材料層予以圖案化成閘極75及閘極線的形狀。另外,為摻 雜磷之多晶矽膜時,於除去光阻掩模後,如在900°C下,經 過60分鐘之於氧中的氧化處理,在摻雜磷之多晶矽膜75的 表面形成氧化矽膜。 繼續,如圖20之(6)所示,以光阻78,將pMOSTFT部作為 掩模’藉由離子注入或離子摻雜法,如以1 X 1〇15 atoms/cm2 的劑量摻雜η型雜質之如砷(或磷)離子79,設定2 X ΙΟ20 atoms/cc的施體濃度,分別形成nMOSTFT之η+型源極區域 80及沒極區域81。 繼續,如圖20之(7)所示,以光阻82將nMOSTFT部作為掩 — ___-58-__ 本紙張尺度適用中國國家榡準(CNS) A4規格(210X297公釐) 552707 A7 B7 -------- 五、發明説明(55 模,藉由離子注入或離子摻雜法,如以1 X 1〇15 atoms/cm2 的劑量摻雜P型雜質之如硼離子83,設定2 X 1〇2〇 atoms/cc 的受體濃度,分別形成PMOSTFT之p+型源極區域84及沒極 區域85。之後,在氮中藉由約以900°C實施約5分鐘退火, 使摻雜在各區域内之雜質離子活化,設定被分別設定的雜 質載體濃度。 繼績,如圖20之(8)所示,藉由與上述相同的觸媒CVD法 等,供給之氫系載氣均為150SCCM,並供給1〜2SCCM之氦 稀釋氧,15〜20SCCM之甲矽烷下,全面形成厚度如為50 nm 的氧化矽膜,繼續於供給卜20_S<icM之PH3、1〜2SCCM之氦 稀釋氧、15〜20SCCM之曱矽烷下,形成厚度如為400 nm的 磷化氫矽酸酯玻璃(PSG)膜,供給50〜60SCCM之NH3, 15〜20SCCM之甲石夕烧下,堆疊厚度如為200 nm的氮化石夕膜 。藉由堆疊此等絕緣膜以形成層間絕緣膜86。另外,該層 間絕緣膜亦可以與上述不同的其他一般方法,如以電漿 CVD法等形成。 繼續,如圖21之(9)所示,在上述絕緣膜86的特定位置開 設接觸孔,在整個包含各接觸孔的面上,以濺射法等,堆 積厚度為1 μιη之鋁等電極材料,將此予以圖案化,分別形 成像素部之nMOSTFT之源極87及資料線、外圍電路部之 pMOSTFT及nMOSTFT之源極88, 90與汲極89,91及配線。 另外,此時亦可藉由觸媒CVD法形成鋁。 繼續,以CVD法等在表面上形成氧化矽膜等層間絕緣膜 92後,在組成氣體中實施400°C,30分鐘的氫化及燒結處理 ___ -59· 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐)
裝 訂
線 552707
。繼績,如圖21之(10)所示,於像素部之nM〇STFT的汲極 區域中,於層間絕緣膜92及86上開設接觸孔,如以真空蒸 鍍法等,全面堆積氧化銦錫(ITO ; Indiuni Tin Oxide ··在銦 氧化物内摻雜錫的透明電極材料),並予以圖案化,形成連 接於像素部之nMOSTFT之汲極區域81的透明像素電極93 。之後,藉由熱處理(組成氣體中,200〜250 °C,1小時), 以降低接觸電阻及提高ITO透明度。 如此,可製作動態矩陣型基板(以下稱TFT基板)及穿透型 的LCD。該穿透型LCD如圖21之(11)所示,採用在像素電極 93上堆疊定向膜94、液晶95、定向膜96、透明電極97及相 對基板98的構造。 另外,上述步驟同樣可適用於反射型LCD的製造。圖 26(A)顯示此種反射型的LCD,圖中的1〇1為覆蓋在粗面化 之絕緣膜92上的鋁等反射膜,並與1^〇3丁?丁的汲極連接。 逐面裝設該LCD液晶單元來製作時(適用於2吋規格以上 之中/大型液晶面板),首先在TFT基板61與全面設置氧化銦 錫(ITO ; Indium Tin Oxide)電極97的相對基板98的元件形 成面上形成聚醯亞胺定向膜94,96。該聚醯亞胺定向膜藉 由滾塗、自旋式塗敷等形成50〜100 nm的厚度,並以180°C /2h實施硬化處理。 繼續,研磨TFT基板61與相對基板98,並實施光定向處 理。研磨拋光材料如棉布及人造絲織布等,其中以棉布在 拋光削(殘渣)及阻滯效果等方面較為穩定。光定向為藉由 非接觸之線型偏光紫外線照射使液晶分子定向的技術。另 •60- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公复) 552707 A7
外,在定向時,除研磨之外,亦可藉由使偏光或非偏光斜 射以形成高分子定向膜(此種高分子化合物如包含偶氮苯 的聚甲基丙烯酸甲酯系高分子等)。 繼續,於洗淨後,在TFT基板61上塗敷共用(c〇mm〇n)劑 ,在相對基板98上塗敷密封劑。為除去研磨拋光削,實施 水或異丙基乙醇(IPA)洗淨。共用劑可採用含導電性填料之 丙烯、或環氧丙烯酸酯、或環氧系黏合劑,密封劑可採用 丙烯、或環氧丙烯酸酯、或環氧系黏合劑。採用加熱硬化 、紫外線照射硬化、或紫外線照射硬化+加熱硬化均可, 而其中以紫外線照射硬化+加熱硬化型在重疊精度與作業 性方面較佳。 繼續,在相對基板98上配置用於保持特定間隙的隔片, 使其在特定位置與TFT基板61重疊。相對基板98上之對準 標記與TFT基板61上之對準標記精確對準後,實施紫外線 照射使密封劑先行硬化,之後,再全部加熱硬化。 繼續’實施劃線切割(Scribe Break),製成TFT基板61與 相對基板98重疊的一個液晶面板。 繼續’將液晶95注入兩基板6 1 -98間的間隙内,以紫外線 黏合劑密封注入口後’實施IPA洗淨。液晶的種類不拘,一 般為使用向列液晶的高反應性扭曲向列(TN)模式。 繼續,實施加熱急冷處理,使液晶95定向。 繼續,以各向異性導電膜之熱壓接,將彈性配線連接在 TFT基板61之面板電極取出部上,繼續將偏光板固著在相 對基板98上。
552707 A7 B7 五、發明説明(58 ) 此外,於液晶面板單面裝設時(適用於2吋規袼以下的小 型液晶面板),與上述同樣的,在TFT基板6 1與相對基板98 的元件形成面上形成聚酿亞胺定向膜94,96,研磨兩基板 ,並實施非接觸之線型偏光紫外線光的定向處理。 繼續,對TFT基板61與相對基板98實施切割,並以劃線 切割切割成單個,實施水或IP A洗淨。在TFT基板61上塗敷 共用劑’在相對基板9 8上塗敷含隔片的密封劑,將兩基板 重疊。以後的處理與上述相同。 上述LCD中,相對基板98為濾色器(CF)基板,並將濾色 層(圖上未顯示)設置於ITO電極下。自相對基板98側的入 射光可被反射膜93有效反射,自相對基板98射出。 另外,TFT基板61形成在TFT基板61上設置濾色器之晶片 上濾色器(OCCF)構造的TFT基板時,在相對基板98上全面 附加ITO電極(或全面附加附黑色掩模的IT〇電極)。 為穿透型LCD時,可如下製作晶片上濾色器(〇ccf)構造 與晶片上黑色(OCB)構造。 亦即’如圖21之(12)所示,磷化氫矽酸鹽玻璃/氧化矽絕 緣膜86之汲極部也開孔,形成汲極用鋁埋入層後,以特定 厚度(1〜1·5 μιη)形成將R,G,B各色顏料散佈在各部分 (Segment)的光阻99後,藉由一般光刻技術,實施僅保留特 定位置(各像素部)的圖案化,形成各濾色器層99(r) , 99(G) ,99(B)(晶片上濾色器構造)。此時,亦實施汲極部的開孔 。另外,不可使用非透明之陶瓷基板、低穿透率之玻璃及 耐熱性樹脂基板。 本纸張尺度適財國國家標準(CNS) Α4規格------- 552707 A7 B7 五、發明説明(59 ) 繼續,在連通於顯示用MOSTFT之汲極的接觸孔内,於 濾色器層上,以金屬圖案化形成黑色掩模層的遮光層l〇(V 。例如,藉由濺射法,形成厚度為200〜250 nm的鉬膜,覆 蓋顯示用MOSTFT,圖案化成遮光之特定形狀(晶片上黑色 構造)。 繼續,形成透明樹脂的平坦化膜92,繼續在設置於該平 坦化膜上之通孔内,將ITO透明電極93連接於遮光層10(Τ 以形成。 如此,藉由在顯示陣列部上設置濾色器99及黑色掩模 100’,以改善液晶顯示面板的孔徑率,此外,亦可達到包 含後照光之顯示器模組的低耗電化。 圖22係概略顯示設置上述表面閘型MOSTFT構成驅動電 路一體型的整個動態矩陣型液晶顯示裝置(LCD)。該動態矩 陣採LCD經由隔片(圖上未顯示)固著主機板61(此構成動態 矩陣)與相對基板98的扁平面板的構造,並在兩基板61-98 間封入液晶(其圖上未顯示)。在主機板6 1的表面設有排列 成矩陣狀的像素電極93、包含驅動該像素電極之切換元件 的顯示部、及連接於該顯示部的外圍驅動電路、影像信號 處理電路、記憶體等的外圍電路。
顯示部的切換元件為上述nMOS或pMOS或CMOS,以LDD 構造的表面閘型MOSTFT構成。此外,外圍驅動電路部的 電路要素亦形成有上述表面閘型MOSTFT之CMOS或nMOS 或pMOSTFT或此等混合。另外,其中一個周邊驅動電路部 為,供給資料信號,在各水平線上驅動各像素之MOSTFT -63 - 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明(6〇 ) 的水平驅動電路,此外,另一個外圍驅動電路部為在各掃 瞄線上驅動各像素之MOSTFT之閘極的垂直驅動電路,通 常分別設置在顯示部的兩邊。此等驅動電路亦可採點依序 類比方式或線依序數位方式構成。 如圖23所示,在直交之閘極匯流排線與資料匯流排線的 交叉部上配置有上述的MOSTFT,經由該MOSTFT,在液晶 電容(C:lc)内寫入圖像資訊,並保持電荷至下一個資訊到達 。此時,由於僅以MOSTFT之通道電阻保持尚嫌不足,因 此,為求補償,可附加與液晶電容並聯的存儲電容(補助電 容)(CS),以補償因漏電流造成晶電壓降低。此種LCD用 MOSTFT之像素部(顯示部)中使用之MOSTFT的特性與外 圍驅動電路上使用之MOSTFT的特性,所要求的性能不同 ,尤其像素部之MOSTFT主要用於控制斷開電流及確保導 通電流。因此,顯示部上藉由設置如後述之LDD構造的 MOSTFT,形成閘極-汲極間不容易產生電場的構造,可使 通道區域内之有效電場降低,以減少斷開電流,也減少特 性的變化。但是,由於也有處理上複雜,元件尺寸大,且 導通電流減少等問題,因此需要因應各個使用目的作最適 切設計。 另外,可使用的液晶,除TN液晶(動態矩陣驅動之TN模 式用的向列液晶)之外,如超扭面向列(STN)、主從⑴!!; Guest · Host)、相交換(PC)、強介電性液晶(FLC)、反強介 電性液晶(AFLC)、聚合物分散型液晶(PDLC)等各種模式用 的液晶。 _________- 64 ' 一 本紙張尺度適用中國國家襟準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明(61 ) <LCD的製造例2> 繼續,顯示使用本實施形態之低溫處理之多晶性矽 MOSTFT之LCD(液晶顯示裝置)的製造例(該製造例同樣可 適用於後述之有機EL及FED的顯示部等上)。 該製造例係於上述製造例1中,使用鋁矽酸玻璃、硼矽酸 玻璃等低畸變點玻璃作為基板61,同樣執行圖19之(1)及(2) 的步驊。亦即,藉由觸媒CVD及本發明之雷射退火在基板 61上形成多晶性矽膜67,將其予以島狀化,形成顯示區域 之nMOSTFT部與外圍驅動電路區域的nMOSTFT部及 pMOSTFT部。並同時形成二g 、電容器、電感及電阻等 區域。與上述同樣的,以後之處理的說明雖係有關MOSTFT ,不過,當然亦可同樣的實施其他元件的處理。 繼續,如圖24之(1)所示,為控制各MOSTFT閘極通道區 域之載體雜質濃度,使Vth最佳化,以光阻82覆蓋顯示區域 之nMOSTFT部與外圍驅動電路區域之nMOSTFT部,在夕卜圍 驅動電路區域的pMOSTFT部内,藉由離子注入或離子摻雜 法,如以1 X 1〇12 atoms/cm2的劑量摻雜如填、珅等η型雜質 79,設定2 X 1〇17 atoms/cc的施體濃度,繼續如圖24之(2) 所示,以光阻82覆蓋外圍驅動電路區域之pMOSTFT部,在 顯示區域之nMOSTFT部與外圍驅動電路區域的nMOSTFT 部内,藉由離子注入或離子摻雜法,如以5 X 1011 atoms/cm2 的劑量摻雜如硼等p型雜質83,設定1 X 1017 atoms/cc的受 體濃度。 繼續’如圖24之(3)所示,基於提高切換特性的目的,在 _______-65-__ 本紙張尺度適用中國國家榡準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(62 ) 顯示區域nMOSTFT部内形成ιΓ型的輕微摻雜汲極(LDD ; Lightly Doped Drain)部,藉由一般光刻技術,以光阻82覆 蓋全部之顯示區域之nMOSTFT之閘極部與外圍驅動區域 之pMOSTFT及nMOSTFT,在露出之顯示區域nMOSTFT之 源極/汲極區域,藉由離子注入或離子摻雜法,如以1 X 1013 atoms/cm2的劑量摻雜如磷等η型雜質79,設定2 X 1018 atoms/ce的施體濃度,形成ιΓ型的LDD部。 繼續,如圖25之(4)所示,以光阻82覆蓋全部之顯示區域 之nMOSTFT部與外圍驅動電路區域之nMOSTFT部,以光阻 82覆蓋外圍驅動電路區域之pl^OSTFT部的閘極部,在露出 之源極、汲極區域,藉由離子注入或離子摻雜法,如以1 X 1015 atoms/cm2的劑量摻雜如硼等p型雜質83,設定2 X 102G atoms/cc的受體濃度,形成p+型的源極部84、汲極部85。 繼續,如圖25之(5)所示,以光阻82覆蓋外圍驅動電路區 域之pMOSTFT部,以光阻82覆蓋顯示區域之nMOSTFT之閘 極及LDD部與外圍驅動電路區域之nMOSTFT部的閘極部 ,在露出之顯示區域及外圍驅動區域之nMOSTFT的源極、 汲極區域,藉由離子注入或離子摻雜法,如以1 X 1015 atoms/cm2的劑量摻雜如磷、砷等η型雜質79,設定2 X 102G atoms/cc的施體濃度,形成n+型的源極部80、汲極部81。 繼續,如圖25之(6)所示,藉由電漿CVD、TEOS系電漿 CVD、觸媒CVD法等,形成厚度40〜50 nm之氧化矽膜、厚 度10〜20 nm之氮化石夕膜、厚度40〜50 nm之氧化石夕膜之疊層 膜,作為閘極絕緣膜68。繼續,如在l〇〇〇°C下,實施1〇〜20 _;_____-66-__ 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 552707 A7 B7 五、發明説明(63 ) 秒鐘之鹵素燈等的RT A處理,藉由活化添加之η或p型雜質 ,獲得所設定之各個載體雜質濃度。 之後,全面形成厚度為4 00〜500 nm之摻入1%矽的鋁激射 膜,藉由一般光刻及蝕刻技術,形成全部MOSTFT的閘極 75及閘極線。之後,藉由電漿CVD、觸媒CVD法等,形成 包含厚度100〜200 nm之氧化矽膜、厚度200〜300 nm之磷化 氫矽酸酯玻璃膜(PSG)膜、厚度50〜200 nm之氮化矽膜之疊 層膜的絕緣膜86。 繼續,藉由一般光刻及蝕刻技術,實施外圍驅動電路之 全部MOSTF1T部之源極/汲極部及顯示用nMOSTFT部之源 極部的開孔。氮化矽膜以CF4的電漿蝕刻液,氧化矽膜及磷 化氫矽酸酯玻璃膜以氟酸系蝕刻液實施蝕刻處理。 繼續,如圖25之(7)所示,全面形成厚度為400〜500 nm之 摻入1 %矽的鋁濺射膜,藉由一般光刻及蝕刻技術,形成外 圍驅動電路之全部MOSTFT之源極、汲極88,89,90,91 ,同時形成顯示用nMOSTFT之源極87及資料線。 繼續,藉由電漿C VD、觸媒C VD法等,全面形成厚度 100〜200 nm之氧化石夕膜、厚度200〜300 nm之填化氫石夕酸酯 玻璃膜(PSG)、100〜300 nm之氮化矽膜,在組成氣體中實施 約400°C,1小時之氫化及燒結處理,唯省略圖式。之後, 實施顯示用nMOSTFT之汲極部接觸用孔的開孔。 上述中,以電漿CVD法堆疊形成含鈍化用氫之氮化矽膜 (厚度500〜600 nm)時,藉由氮或組成氣體中之420°C,約30 分鐘的氫化處理,可藉由鈍化用氮化矽膜中之氫擴散而改 ____167:__ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 552707 A7
善界面特性,及藉由多晶性矽膜未結合終端之晶性改善等 而提高載體移動率。另外,由於氮化矽膜將氫封入,因此 ’為提兩氫化處理效果,本實施形態係採以氮化矽膜夾住 多晶性矽膜的構造,亦即,宜形成玻璃基板/鈉離子阻止及 保護用氮化矽膜+氧化矽膜/多晶性矽膜/閘極絕緣膜(氧化 石夕膜等)/閘極/氧化矽膜及鈍化用氮化矽膜(此在其他例中 亦同)。此時,藉由該氫化處理,同時實施摻入1%矽之鋁合 金膜與源極/汲極區域之矽的燒結處理,以獲得歐姆接觸。 另外,LCD採穿透型時,除去像素開孔部之氧化矽膜、 破化氫矽酸醋玻璃(PSG)膜及氮化矽膜,此外,採用反射型 時’則不需要除去像素開孔部等之氧化矽膜、磷化氫矽酸 S旨玻璃(PSG)膜及氮化矽膜(此在上述或後述之lcd中均 同)。 為穿透型時,與圖2 1之(1 〇)同樣的,以自旋式塗敷等, 全面形成厚度為2〜3 μιη之丙烯系透明樹脂平坦化膜,藉由 一般光刻及#刻技術,形成顯示用M〇STFT之汲極側透明 樹脂開孔後,全面形成厚度為13〇〜15〇 nm的ITO濺射膜, 藉由一般光刻及姓刻技術,形成與顯示用nMOSTFT之汲極 部接觸之1丁0透明電極。繼續藉由熱處理(在組成氣體中, 200〜250°C ’ 1小時),以降低接觸電阻及提高IT〇透明度。 為反射型時,以自旋式塗敷等,全面形成厚度為2〜3 之感光性樹脂膜,藉由一般光刻及蝕刻技術,至少在像素 部上形成凹凸形狀圖案’貫施平坦化熱處理,以形成凹凸 反射下部。同時形成顯示用nMOSTFT之汲極部的感光性樹 ___ -68- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(65 ) 脂開孔。之後,全面形成厚度為300〜400 nm之摻入1 %矽的 鋁濺射膜,藉由一般光刻及蝕刻技術,除去像素部以外的 鋁膜,形成與顯示用nMOSTFT之汲極連接之凹凸形狀的鋁 反射部。之後,在組成氣體中實施300°C,1小時的燒結處 理。 另外,上述中,於形成MOSTFT之閘極通道、源極、汲 極區域後,實施本發明之雷射退火時,使低度結晶性矽膜 的膜溫度局部上昇,以促進晶化,形成有高移動率及高品 質的多晶性矽膜。同時由於注入閘極通道/源極/汲極區域之 填、珅、蝴離子等被活化,因此生產性良好。 <底面閘型或雙閘型MOSTFT > 以下敘述配置MOSTFT之LCD中,製造包含底面閘型、雙 閘型以取代上述之表面閘型之MOSTFT的一種穿透型LCD (而反射型LCD亦同)。 如圖26(B)所示,在顯示部及外圍部上設有底面閘型之 MOSTFT,或如圖24(C)所示,在顯示部及外圍部上分別設 有雙閘型的MOSTFT。此等底面閘型、雙閘型MOSTFT中, 尤其是雙閘型時,可藉由上下的閘極部提高驅動能力,適 用於快速切換,此外,因應選擇性使用上或下的閘極部時 ,亦可作為表面閘型或底面閘型來工作。 圖26(B)之底面閘型MOSTFT,圖中之102為耐熱性鉬或鉬 /钽等閘極,103為氮化矽膜,104為氧化矽膜,以形成底面 閘極絕緣膜,該閘極絕緣膜上形成有使用與表面閘型 MOSTFT同樣之多晶性矽膜67的通道區域等。此外,圖26(C) -69 - 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 五、發明説明(66 ) 之雙閘型MOSTFT之底面閘極部雖與底面閘型M〇STF丁相 同’不過表面閘極部係以氧化矽膜與氮化矽疊層膜形成閘 極絕緣膜106,並在其上設置表面閘極75。 <底面閘型MOSTFT的製造> 首先’在整個玻璃基板61上形成厚度為300〜40〇 的耐 熱性鉬/鈕合金的濺射膜,藉由一般光刻及蝕刻技術對其實 % 20〜45度的錐角蝕刻,至少在丁FT形成區域上形成底面閘 極102,同時形成閘極線。玻璃材質的選用參照上述的表面 閘型。 繼續,藉由電漿CVD、觸媒CVD等汽相生長法,形成閘 極絕緣膜及保護膜用之氮化矽膜1〇3及氧化矽膜1〇4,與含 有3或不含錫之非晶質的微結晶石夕膜6 7 a。該膜與上述同 樣的,繼續實施本發明之雷射退火,以形成多晶性矽膜67 此專Λ相成膜條件參照上述的表面閘型。另外,設置底 面閘極絕緣膜及保護膜用之氮化矽膜的目的,在發揮阻擋 玻璃基板之鈉離子的作用,不過,為合成石英玻璃時則不 需要設置。 繼續,與上述同樣的,藉由一般光刻及蝕刻技術,將 PMOSTFT、nMOSTFT區域予以島狀化(圖上僅顯示一個區 域·以下均同)’為控制各通道區域之載體雜質濃度,將 予以最佳化,藉由離子注入或離子摻雜法,適量混入n型或 P型雜質後,繼續為求形成各M〇STFT之源極、沒極區域, 藉由離子注入或離子摻雜法適量混An型或p型雜質。之後 ’為求活化各個雜質,係藉由RTA等實施退火。 552707 A7 B7 五、發明説明(67 ) 以後的處理參照上述。 <雙閘型MOSTFT的製造> 與上述底面閘型同樣的,分別形成底面閘極1 02、底面閘 極絕緣膜103及104、含或不含錫之多晶性矽膜67。但是, 設置底面閘極絕緣膜及保護膜用之氮化矽膜103的目的,在 發揮阻擋玻璃基板之鈉離子的作用,不過,為合成石英玻 璃時則不需要設置。 繼續,與上述同樣的,藉由一般光刻及蝕刻技術,將 pMOSTFT、nMOSTFT區域予以島狀化,為控制各通道區域 之載體雜質濃度,將Vth予以最佳化,藉由離子注入或離子 摻雜法,適量混入η型或p型雜質後,繼續為求形成各 MOSTFT之源極、汲極區域,藉由離子注入或離子摻雜法 適量混入η型或ρ型雜質。 繼續形成表面閘極絕緣膜1 06用之氧化矽膜及氮化矽膜 。汽相生長條件參照上述的表面閘型。之後,為活化各種 雜質而藉由RT Α等實施退火。 之後,全面形成厚度為400〜500 nm之摻入1 %矽的鋁濺射 膜,藉由一般光刻及蝕刻技術,形成全部MOSTFT之表面 閘極75及閘極線。之後,藉由電漿CVD、觸媒CVD法等, 形成包含厚度100〜200 nm之氧化>5夕膜、厚度200〜300 nm之 磷化氫矽酸酯玻璃(PSG)膜、及厚度100〜200 nm之氮化矽膜 的多層絕緣媒86。繼續,藉由一般光刻及蝕刻技術,實施 外圍驅動電路之全部MOSTFT的源極、汲極部與顯示部 nMOSTFT之源極的開孑L。 _-71 -__ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 B7 五、發明説明(68 ) 繼續’全面形成厚度為400〜500 nm之摻入1%矽的鋁濺射 膜,藉由一般光刻及餘刻技術,形成外圍驅動電路之全部 MOSTFT之源極及汲極的各紹電極87,88及顯示部 nMOSTFT的鋁電極89、源極線及配線等。之後,在組成氣 體中實施400°C,1小時之氫化及燒結處理。 如上所述,本實施形態與上述第一種實施形態同樣的, 係藉由觸媒CVD或電漿CVD等汽相生長法與本發明之雷射 退火’形成LCD顯示部及外圍驅動電路部之m〇stFT的閘極 通道、源極及汲極區域,可以高載體移動率調整Vth ,形成 以低電阻快速工作之多晶性矽膜。使用該多晶性矽膜構成 之表面閘極、底面閘極或雙閘型M〇STFT的液晶顯示裝置 ’可將包含高切換特性與低漏電流之LDD構造之顯示部與 咼性能之驅動電路、影像信號處理電路、記憶體電路等外 圍電路構成一體化,可實現高畫質、高精密、窄管道接口 、高效率且廉價的液晶面板。 此外,由於可以低溫(300〜400。〇形成,因此可採用廉價 之容易構成大型化之低畸變點玻璃,可降低成本。且藉由 在陣列部上配置濾色器及黑色掩模,以改善液晶顯示面板 的孔徑率及亮度等,不需要濾色器基板,可藉由改善生產 性等達到降低成本。 < LCD的製造例3 > 圖27〜圖29係顯示動態矩陣lCd的其他製造例。 首先,如圖27之(1)所示,在硼矽酸玻璃、石英玻璃、透 明性晶化玻璃等之絕緣基板61的一個主面,至少在TFT區
A7
域内+以特定圖案形成光阻’將此作為掩模,如照射cF4電聚 的F離子,藉由反應性離子_(Rie)等―般光刻及㈣技 術,在基板61上’以適切形狀及尺寸形成數個附階差223 的凹部。 階差223係構成後述之單晶性發之圖形外延生長時的晶 種者’深度d為0.01〜〇.03㈣,寬度评為i〜5叫,長度(紙面 垂直方向)為5〜1〇㈣即可’底邊與側面構成的角度(底角) 為直角。另外,亦可在絕緣基板61的表面,為防止鈉離子 等自玻璃基板擴散,事先連續形成厚度5〇〜2〇〇 nm2氮化矽 膜與厚度300〜400 nm之氧化碎膜,在該氧化矽膜内形成數 個包含適切形狀及尺寸的附階差凹部。 繼續,如圖27之(2)所示,除去光阻後,在絕緣基板61的 一個主面,藉由觸媒CVD或電漿cVD等,在包含階差223 的整個面上形成厚度如為丨〇〇 nm之含有或不含錫或鎳之低 度結晶性矽膜67A。 繼續’如圖27之(3)所示,對低度結晶性矽薄膜67A實施 以本發明之雷射退火的雷射光束照射21〇,該退火之熔融與 冷卻時’以階差223的底邊之角為晶種,實施圖形外延生長 ’除凹部之外,亦可在其橫方向的外圍部上形成單晶性矽 薄膜67。另外,亦可藉由反覆實施該雷射退火與形成低度 結晶性半導體薄膜堆疊,以形成μιη單位的單晶性半導體厚 膜(以下均同)。 如此’如單晶性矽薄膜67 (100)面在基板上實施圖形外延 生長。此時’階差223藉由雷射退火之高能量,形成稱之為 本紙張尺度適用中國國豕標準(CNS) Α4規格(210 X 297公羡) 圖形外延生長之外延生县曰 ..^ ^ 卜I生長的日日種,促進其生長,形成結晶 ,之厚度約50nm的單晶性石夕薄膜67β此如圖28所示, 在非晶質基板(玻璃)61上製作如上述階差223的垂直壁,在 其上形成外延層時,如圖28(a)之隨機面方位者如圖28(b) 面係沿著階差223的面結晶生長。此外,藉由將上 =白形狀如圖29⑷〜⑴作各種改變,可控制生長層的結 日日方位。於製作刪電晶體時,最常採用的為(1〇〇)面。 是,階差223的剖面形狀,除底邊角部的角度(底角) 之外’亦可自上端向下端朝内或朝外傾斜,只要 包含容易產生結晶生長之特定方向的面即可。階差⑵的 底角通常宜為直角或在90。以下,其底面角部亦可稍具曲 率〇 如此,藉由本發明之雷射退火時之圖形外延生長在絕 緣基板61上形成單晶性石夕薄媒67後,與上述同樣的製作將 單晶性石夕薄膜67(厚度50 nm)作為活性層之如表面閘 MOSTFT 〇 另外,亦可使用聚酿亞料耐熱性樹脂基板作為絕緣美 板6丨,另外,至少在丁 ?1形成區域上形成包含特定形狀^ 尺寸之附階差223,實施與上述相同的處理。例如,在厚度 為100 μιη之聚醢亞胺基板上沖壓包含如高度〇〇3〜〇〇5 ,寬度5 μπι,長度1〇 μΐΏ之特定尺寸/形狀之凸部的模具, 形成與模具概同尺寸/形狀的凹部。並在作為補強材料的不 銹鋼等金屬板上,採用塗敷、屏幕印刷等方法,形成聚醯 亞胺專对熱性樹脂膜(厚度5〜1 〇 pm),在該膜上沖壓包^如 •74· 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公查) 552707 A7 B7 五、發明説明(71 ) 高度0.03〜0·05 μπι,寬度5 μπι,長度10 μιη之特定尺寸/形 狀的模具,至少在TFT形成區域上形成包含與模具概同尺 寸/形狀之階差的凹部。之後,以上述相同的步驟形成單晶 性矽薄膜及MOSTFT等。 如以上之說明,由於本例藉由在絕緣基板6 1上設置包含 特定形狀/尺寸之階差223的凹部,將其作為晶種,實施本 發明之雷射退火,實施圖形外延生長,可獲得高載體移動 率的單晶性矽薄膜67,因此可製造内藏高性能驅動器的 LCD。 < LCD的製造例4〉 " 圖3 0係顯示動態矩陣LCD的其他製造例。 首先,如圖3 0之(1)所示,在硼矽酸玻璃、鋁矽酸玻璃、 石英玻璃、透明晶化玻璃等絕緣基板6 1的一個主面,至少 在TFT形成區域上形成單晶矽與晶格整合良好之如厚度 10〜200 nm之結晶性藍石英薄膜224等物質層。該結晶性藍 石英薄膜224係藉由高密度電漿CVD法及觸媒CVD法等,以 氧化性氣體(氧、水分)氧化三曱基鋁氣體等,予以晶化製 成。 繼續,如圖30之(2)所示,藉由觸媒CVD法、電漿CVD法 等,在結晶性藍石英薄膜224上形成如厚度為100 nm的低度 結晶性矽膜67A。 繼續,如圖30之(3)所示,對低度結晶性矽薄膜67A實施 本發明之雷射退火的雷射光束照射210,藉由熔融與逐漸冷 卻,使結晶性藍石英薄膜224在晶種上異質外延生長,以形 _-75-____ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 72 五、發明説明( 成單晶性矽薄膜67。亦即,結晶性藍石英膜224為顯示單晶 矽與,好的晶格整合,其形成晶種,#由本發明之雷射退 火,單晶性矽如(100)面有效在基板上實施異質外延生長。 此=二形成上述之階差223,在包含其之面上形成結晶性藍 石央薄膜224時,藉由加強階差223之圖形外延生長的異質 外I生長,可獲得更高結晶性的單晶性矽薄膜67。另外, 亦可#自反覆實施本發明之雷射退火與形成低度結晶性半 導體薄膜堆疊,形成μηι單位的單晶性半導體厚膜。 如此,藉由本發明之雷射退火時之異質外延生長,在絕 緣基板61上析出厚度約5〇 11111的單晶性矽薄膜。後,與上述 同樣的製作將該單晶性矽薄膜67作為活性層之如表面閘型 MOSTFT 〇 如以上之說明,由於本例藉由將設置在基板6丨上之結晶 f生孤石英薄膜224作為晶種實施本發明之雷射退火,實施異 質外延生長,可獲得高載體移動率的單晶性矽薄膜67,因 此可製造内藏高性能驅動器的Lcd。 此外,由於結晶性藍石英薄膜224等上述物質層構成各種 原子的擴散隔離層,因此可控制雜質自絕緣基板6丨擴散。 由於該結晶性藍石英薄膜具有阻擋鈉離子的作用,因此該 膜厚形成足夠厚度時,可省略上述底層保護膜中之至少氮 化矽膜。 另外亦可形成有構成與其相同作用之包含尖晶石結構 體、氟化每、氟化銘、氣化鋇、罐化蝴、氧化記及氧化錯 之群選出之至少一種物質層’來取代結晶性藍石英膜。 552707 A7 B7 —-—-- 五、發明説明(73 ) 蓋^種實施形態 本實施形態係將本發明應用在有機或無機的電致發光 (EL)顯示裝置,如有機EL顯示裝置上者。以下顯示其構造 例與製造例。而此處係以表面閘型MOSTFT為例,不過如 以上所述,當然也適用底面閘型或雙閘型M〇STFT。 <有機EL元件的構造例1> 如圖3 1(A) ’(B)所示,本構造例][係在玻璃等基板丨丨i上 ’藉由依據本發明,以上述方法所形成之高晶化率、大粒 徑的多晶性矽膜(或單晶性矽膜··以下,以多晶性石夕膜為例 作έ兒明’不過單晶性矽膜亦同。),形成有切換用M〇sTFT 1 與電流驅動用MOSTFT2的閘極通道區域117、源極區域120 及汲極區域12 1。繼續在閘極絕緣膜1丨8上形成有閘極丨i 5 、在源極及汲極區域上形成主動極丨27及汲極128,13 1 ^ MOSTFT1之汲極與MOSTFT2之閘極經由汲極128連接,同 時在與MOSTFT2之源極127之間,經由絕緣膜136形成有電 容器C,且MOSTFT2之汲極131延伸至有機EL元件的陰極 138。另外亦可在切換用MOSTFT1上形成LDD部,以提高 切換特性。 各MOSTFT以絕緣膜130覆蓋,為在該絕緣膜上覆蓋陰極 ’而形成有有機EL元件之如綠色有機發光層132(或藍色有 機發光層133,甚至圖上未顯示的紅色有機發光層),形成 有陽極(第一層)134以覆蓋該有機發光層,並全面形成有共 通的陽極(第二層)135。另外,包含CMOSTFT之外圍驅動電 路、影像信號處理電路、記憶體電路等製法,參照上述之 _ 77 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) 552707
液晶顯示裝置(以下均同)。 該構造之有機EL顯示部,其有機此發光層連接於電流驅 B^MOSTFT2的汲極,陰極(鋰_鋁,鎂·銀等覆蓋在玻 璃等基板111的面上,陽極(ITO膜等)134, 135設置於其上 部,因此構成上面發光136。此外,陰極覆蓋在MOSTFT上 時,發光面積變大,此時陰極形成遮光膜,發光光線等無 法射入MOSTFT,因此不產生漏電流,TFT特性不致惡化。 此外’各像素部外圍如圖3丨(c)所示的形成有黑色掩模 部(鉻、:氧化鉻等)140時,可防止漏光(串擾等),提高對 比0 -- 另外,像素顯示部内採用使用綠色、藍色、紅色三色發 光層之方法、使用色轉換層之方法、或在白色發光層上使 用;慮色H之方法,均可構成有良好色彩的有機EL顯示裝置 此外由於各色發光材料之高分子化合物的自旋式塗敷 法,或金屬配位之真空加熱蒸鍍法,亦可以良好的生產性 製成使用壽命長、高精度、高品質、高可靠性的彩色有機 EL部,因此可降低成本(以下均同)。 繼續,說明該有機EL元件的製造處理,首先,如圖32之 (1)所示’經過上述步驟,形成包含多晶性矽膜之源極區域 120、通道區域117及汲極區域121後,形成閘極絕緣膜1 ,藉由鉬-鈕合金等濺射成膜與一般光刻及蝕刻技術,在其 上形成MOSTFT1、2的閘極115,並藉由濺射成膜與一般光 刻及餘刻技術(以下均同)形成連接於M0STFT1之閘極的閘 極線。繼續藉由觸媒CVD等汽相生長法(以下均同)形成重 $張尺度適財s S家標準(CNS) A4規格(21GX撕7^^----— 552707 A7 B7 五、發明説明(75 ) 疊膜(氧化矽等)137後,形成MOSTFT2之源極127及接地線 ,繼續形成重疊膜(氧化矽/氮化矽疊層膜)136。藉由鹵素燈 等之快速加熱退火(RTA ; Rapid Thermal Anneal)處理(如約 1000°C,3 0秒),使摻雜離子之η或p型雜質活化。 繼續,如圖32之(2)所示,實施MOSTFT1之源極/汲極部 、MOSTFT2之閘極部的開孔後,如圖32之(3)所示,藉由摻 入1 %矽之鋁濺射及一般光刻及蝕刻技術,以摻入1 %矽之鋁 配線128連接MOSTFT1之汲極與MOSTFT2之閘極,同時形 成MOSTFT1之源極與包含連接於該電極之摻入1%矽之鋁 的源極線。繼續,形成重疊膜(氧化矽/磷化氫矽酸酯玻璃/ 氮化矽疊層膜等)130,實施MOSTFT2之汲極部的開孔,形 成與MOSTFT2之汲極部連接之發光部的陰極138。 繼續,如圖32之(4)所示,形成有機發光層132等及陽極 134 , 135 〇 先前之外圍驅動電路一體型之動態矩陣型有機EL顯示 裝置,像素係藉由X方向信號線與Y方向信號線來指定,該 像素中之切換用MOSTFT被導通,在其信號保持用電容器 内保持有圖像資料。藉此電流控制用MOSTFT被導通,自 電源線流入因應圖像資料之偏壓用電流至有機EL元件内 ,其因而發光。但是,此時,若為非晶質矽MOSTFT時, Vth變動,電流值容易改變,容易在畫質上引起變動。且因 載體移動率小,可快速反應之驅動電流亦受限,此外p通道 形成困難,縱使是小規模的CMOS電路亦不易構成。 反之’如上所述,依據本發明,較容易形成大面積,且 _____________ 本紙乐尺度適用中國國家榡準(CNS) A4規格(210X 297公釐) 552707 A7 B7 五、發明説明(76 ) 可靠性高,載體移動率亦高,可實現可構成CMOS電路的多 晶性矽TFT。 另外’上述之綠色(G)發光有機EL層、藍色(B)發光有機 EL層、紅色(R)發光有機EL層係分別形成1〇〇〜2〇〇 nm的厚 度’而此專有機EL層如為低分子化合物時,係以真空加熱 蒸鍍法形成,為高分子化合物時係使用藉由浸潰塗敷、自 旋塗敷等塗敷法及喷墨法,排列r,G,B發光聚合物的方 法。為金屬配位時,係以真空加熱蒸鍍法形成可昇華的材 料。 有機EL層包括單層型、雙層型、三層型等,此處以低分 子化合物之三層型為例。 單層型:陽極/雙極發光層/陰極 雙層型·陽極/孔輸送層/電子輸送性發光層/陰極、或陽 極/孔輸送性發光層/電子輸送層/陰極 三層型:陽極/孔輸送層/發光層/電子輸送層/陰極、或陽 極/孔輸送性發光層/載體區塊層/電子性發光 層輸送層/陰極 另外,圖31(B)之元件使用熟知之發光聚合物以取代有機 發光層時’可構成被動矩陣或動態矩陣驅動之發光聚合物 顯示裝置(LEPD)(以下均同)。 <有機EL元件的構造例π > 如圖33(A) ’(Β)所示,本構造例π係在玻璃等基板1^上 ,與上述構造例I同樣的,藉由依據本發明,以上述方法所 形成之高晶化率、大粒徑之多晶性矽膜,形成有切換用 552707 A7 __ _B7 五、發明説明(77 ) MOSTFT1與電流驅動用MOSTFT2的閘極通道區域117、源 極區域120及汲極區域12卜繼續在閘極絕緣膜1 18上形成有 閘極115、在源極及汲極區域上形成主動極127及汲極128, 13卜MOSTFT1之汲極與MOSTFT2之閘極經由汲極128連接 ’同時在與]\403丁卩丁2之汲極131之間,經由絕緣膜136形成 有電容器C,且MOSTFT2之源極127延伸至有機EL元件的陽 極144。另外亦可在切換用MOSTFT1上形成LDD部,以提 高切換特性。 各MOSTFT以絕緣膜130覆蓋,為在該絕緣膜上覆蓋陽極 ’而形成有有機EL元件之如綠色有機發光層132(或藍色有 機發光層133,甚至圖上未顯示的紅色有機發光層),形成 有陰極(第一層)141以覆蓋該有機發光層,並全面形成有共 通的陰極(第二層)142。 該構造之有機EL顯示部,其有機EL發光層連接於電流驅 動用MOSTFT2的源極,形成有機EL發光層,以覆蓋覆蓋在 玻璃等基板111之面的陽極144,形成陰極141以覆蓋該有機 EL發光層,並全面形成陰極142,因此構成下面發光136, 。此外,陰極覆蓋在有機EL發光層間及MOSTFT上。亦即 ,全面藉由真空加熱蒸鍍法等形成如綠色發光有機El層後 ,以光刻及乾式蝕刻形成綠色發光有機EL部,並繼續同樣 的形成藍色、紅色發光有機EL部,最後藉由鎂:銀合金或 紹:鐘合金全面形成陰極(電子注入層)141。由於該全面以 進一步所形成之陰極(電子注入層)142密封,因此,可藉由 全面覆蓋之陰極142防止濕氣自外部侵入有機EL層間,防 — -81 · 本紙張尺度適用+ S时標準(CNS) A4規格(2ι〇X 2的公釐) ·~—--
止不耐濕氣之有機el層的老化及電極氧化,促使使用壽命 長、高品質、高可靠性(這方面,圖29之構造例!亦以陽極 王面覆蓋,因此相同)。此外,由於陰極i 4丨及丨42的散熱效 果高,因此可減少因發熱造成有機EL薄膜的結構變化(熔解 或再晶化),促使使用壽命長、高品質、高可靠性。且藉此 可以良好之生產性製成高精度、高品質的彩色有機EL層, 因此可降低成本。 此外,各像素部外圍如圖33(C)所示的形成有黑色掩模部 (鉻、二氧化鉻等)140時,可防止漏光(串擾等),提高對比 。另外,該黑色掩模部14〇係藉由絕緣用氧化矽膜143(亦可 與閘極絕緣膜1 1 8同時以同一材料形成)覆蓋。 繼續’說明該有機EL元件的製造處理,首先,如圖34之 (1)所示’經過上述步驟,形成包含多晶性矽膜之源極區域 120、通道區域117及汲極區域121後,藉由觸媒cVD等汽相 生長法形成閘極絕緣膜11 8,藉由鉬-鈕合金等濺射成膜與 一般光刻及蝕刻技術,在其上形成MOSTFT1、2的閘極115 ,同時形成連接於MOSTFT1之閘極的閘極線。繼續藉由觸 媒CVD等汽相生長法形成重疊膜(氧化矽等)137後,藉由鉬 组合金4賤射成膜與一般光刻及姓刻技術,形成MQSTFT2 之汲極131及Vdd線,繼續藉由觸媒CVD等汽相生長法形成 重疊膜(氧化矽/氮化矽疊層膜等)136。另外,藉由鹵素燈等 之决速加熱退火(RTA ; Rapid Thermal Anneal)處理(如約 1000°C,10〜3 0秒),使注入離子之載體雜質活化。 繼續,如圖34之(2)所示,藉由一般光刻及蝕刻技術實施 552707 A7 B7 五、發明説明(79 ) MOSTFT1之源極/汲極部、MOSTFT2之閘極部的開孔後, 如圖34之(3)所示,藉由摻入1°/〇矽之鋁濺射成膜及一般光刻 及蝕刻技術,以摻入1%矽之鋁配線128連接乂03丁?丁1之汲 極與MOSTFT2之閘極,同時形成包含連接於MOSTFT1之源 極之摻入1 %矽之鋁的源極線。繼續,形成重疊膜(氧化矽/ 磷化氫矽酸酯玻璃/氮化矽疊層膜等)130,藉由一般光刻及 I虫刻技術實施MOSTFT2之源極部的開孔,藉由ιτο等濺射 及一般光刻及蝕刻技術,形成與MOSTFT2之源極部連接之 發光部的陽極144。 繼續,如圖34之(4)所示,如上述的形成有機發光層132 等及陰極141,142。 另外,以下所述之有機EL各層之構成材料及形成方法適 用於圖33的範例,不過同樣亦可適用於圖3 1的範例。 在綠色發光有機EL層上使用低分子化合物時,係藉由繼 續之真空加熱蒸鍍法,在與玻璃基板上之陽極(孔注入層) 之電流驅動用MOSTFT之源極部接觸之1丁〇透明電極上形 成。 1) 孔輸送層宜為胺系化合物(如三烯丙基胺衍生物、烯丙 胺低聚物、芳香族第三胺等)等 2) 發光層宜為綠色發光材料之3(8-羥基二甲代苯胺基)鋁 配位(Alq)等 3) 電子輸送層宜為1,3,4·噁二唑衍生物(OXD)、1,2,4-三唑 衍生物(ΤΑΖ)等 4) 陰極之電子注入層宜為由包含4eV以下工作函數的材 ______ -83-____ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明(8〇 ) 料製成者。 如10 : 1(原子比)之鎂··銀合金厚度為10〜30 紹:鐘(濃度為0.5〜1%)合金厚度為10〜30 nm 此時,銀為增加與有機界面的黏合性,係在鎂中添加卜⑺ 原子%,鋰為求穩定化,係在鋁中添加濃度為〇·5〜^ 形成綠色像素部時,以光阻將綠色像素部作為掩模,藉 由CCI4氣體等電漿姓刻,除去陰極之電子注入層的鋁:鋰 合金,繼續以氧電漿蝕刻除去電子輸送層、發光層、孔輸 送層之低分子系化合物及光阻,以形成綠色像素部。此時 ,由於在光阻之下有鋁··鋰合金,因此,即使光阻被蝕刻 亦無問題。且此時,電子輸送層、發光層、孔輸送層之低 分子系化合物層的面積大於孔注入層之IT〇透明電極,宜避 免在以後步驟中與全面所形成之陰極的電子注入層(鎂··銀 合金)造成電性短路。 其次,以低分子化合物形成藍色發光有機EL層時,係藉 由繼續真空加熱蒸鍍,在與玻璃基板上之陽極(孔注入層) 之電流驅動用TFT之汲極部接觸的IT〇透明電極上形成。 1) 孔輸送層宜為胺系化合物(如三烯丙基胺衍生物、烯丙 胺低聚物、芳香族第三胺等)等 2) 發光層宜為藍色發光材料之DTVBi等聯苯乙烯衍生物 等 3) 電子輸送層宜為ι,3,4-噁二唑衍生物(TAZ)、丨,2,4_三唑 衍生物(TAZ)等 4) 陰極之電子注入層宜為由包含4 eV以下工作函數的材 本紙張尺度適用中國國家標準(CMS) A4規格(210X297公釐·) 81 五、發明説明( 料製成者。 如10· 1(原子比)之鎂:銀合金厚度為1〇〜3〇打㈤ 叙·鐘〇農度為〇·5〜1%)合金厚度為i〇〜3〇nm 此時,銀為增加與有機界面的黏合性,係在鎂中添加卜】〇 原子鋰為求穩定化,係在鋁中添加濃度為〇 5〜1%。 形成監色像素部時,以光阻將藍色像素部作為掩模,藉 由ecu氣體等電漿蝕刻,除去陰極之電子注入層的鋁··鋰 合金,繼續以氧電漿蝕刻除去電子輸送層、發光層、孔輸 送層之低分子系化合物及光阻,以形成藍色像素部。此時 ,由於在光阻之下有鋁··鋰合金,因此,即使光阻被蝕刻 亦無問題。且此時,電子輸送層、發光層、孔輸送層之低 分子系化合物層的面積大於孔注入層之IT〇透明電極,宜避 免在以後步驟中與全面所形成之陰極的電子注入層(鎂··銀 合金)造成電性短路。此時,堆疊在綠色像素部及紅色像素 部上之監色發光有機EL層於各個钱刻時同時被除去。 此外,以低分子化合物形成紅色發光有機EL層時,係藉 由繼續真空加熱蒸鍍,在與玻璃基板上之陽極(孔注入層) 之電流驅動用TFT之汲極部接觸的IT〇透明電極上形成。 1) 孔輸送層宜為胺系化合物(如三烯丙基胺衍生物、烯丙 胺低聚物、芳香族第三胺等)等 2) 發光層宜為紅色發光材料之Eu(Eu(DBM)3(Phen))等 3) 電子輸送層宜為1,3,4-噁二唑衍生物(〇XD)、三唑
衍生物(TAZ)等 V 4) 陰極之電子注入層宜為由包含4eV以下工作函數的材 」5- :297公釐) 本纸張尺度適用中國國家標準(CNS) A4規格(21〇: 82 552707 五、發明説明( 料製成者。 如10·· 1(原子比)之鎮··銀合金厚度為1〇〜3〇麵 鋁:鋰(濃度為〇.5〜1%)合金厚度為1〇〜3〇nm 銀為增加與有機界面的黏合性,係在鎂中添加卜1〇 鋰為求穩定化,係在鋁中添加濃度為〇 5〜 形成紅色像素料,以光阻將紅色像素部作為掩模,藉 由ecu氣體等電漿蝕刻,除去陰極之電子注入層的鋁··鋰 二金’繼續以氧電漿蝕刻除去電子輸送層、發光層、孔輸 运層之低分子系化合物及光阻,以形成紅色像素部。此時 ,由於在光阻之下有!呂:鐘合金,因此,即使光阻被蝕刻 =無問題。且此時,電子輸送層、發光層、孔輸送層之低 分子系化合物層的面積大於孔注入層之IT〇透明電極,宜避 免在以後步驟中與全面所形成之陰極的電子注入層(鎂:銀 合金)造成電性短路。此時,堆疊在綠色像素部及藍色像素 部上之紅色發光有機EL層於各個蝕刻時同時被除去。之 後以與陰極141相同的材料及方法全面形成共通的陰極 142 〇 第四種實施形熊 本實施形態係將本發明應用於電場發射型(電致發射)顯 示裝置(FED ; Field Emission Display)者。以下顯示其構造 例與製造例。而此處係以表面閘型MOSTFT為例,不過如 以上所述,當然也適用底面閘型或雙閘型MOSTFT。 < FED之構造例1> 如圖35(A),(B),(C)所示,本構造例I係在玻璃等基板lu 本紙張尺度適用中國國家標準(CNS) A4規格(21〇X 297公釐) 552707 A7 B7 五、發明説明(83 ) 上’藉由依據本發明,以上述方法所形成之高晶化率、大 粒徑之多晶性矽膜,形成有切換用MOSTFT1與電流驅動用 MOSTFT2的閘極通道區域丨17、源極區域12〇及汲極區域 121。繼續在閘極絕緣膜118上形成有閘極115、在源極及汲 極區域上形成主動極127及汲極128。MOSTFT1之汲極與 MOSTFT2之閘極經由汲極128連接,同時在與MOSTFT2之 源極127之間,經由絕緣膜136形成有電容器c,aM0STF 丁 2 之汲極121直接延伸至FED元件的FEC(電致發射陰極),發 揮射極區域152的功能。另外亦可在切換用m〇stFT1上形 成LDD部,以提高切換特性。一 、 各MOSTFT以絕緣膜13〇覆蓋,在該絕緣膜上,以fec:2 閘極引出電極150之同一材料,在同一步驟中形成有接地用 金屬遮蔽膜151,覆蓋在各MOSTFT上。FEC之包含多晶性 矽膜之射極區域152上形成有構成電致發射射極之n型多晶 性矽膜153,並將絕緣膜Π8,137 , 136及130予以圖案化, 在πι X η個之各射極上形成用於劃分的開孔,在其上面覆 蓋閘極引出電極150。 此外’相對於该FEC設有將附背面金屬1 55之螢光體1 56 作為%極所形成之玻璃基板等基板157,並在與FEc之間保 持高度真空。 該構造之FEC之閘極引出電極150的開孔下,生長在依據 本發明所形成之多晶性矽膜152上之η型多晶性矽膜丨53露 出,其發揮釋放各個電子154之薄膜之面釋放型射極的功能 亦即’由於射極底層之多晶性石夕膜1 5 2包含大粒徑(晶粒 552707
尺寸數100 nm以上)之晶粒,因此將此作為晶種,於宜上藉 由觸媒CVD等,使η型多晶性㈣153生長時,該多晶^ 膜153係以更大的粒徑生長,形成表面產生有利於電子釋放 的微細凹凸158者。 由於射極為包含薄膜之面釋放型,因此其形成容易,且 射極性能穩定,使用壽命長。 此外,由於在全部的主動元件(其中包含外圍驅動電路及 像素顯示部之MOSTFT與二極體)之上部形成有接地電位之 金屬遮蔽膜151(該金屬遮蔽膜採用與引出閘極15〇之同一 材料(鈮、鈦/鉬等),以相同之步驟形成時,在步驟上較適 合),因此包含以下(1),(2)項的優點,可形成高品質、高 可靠性之電致發射顯示(FED)裝置。 (1) 氣密容器内之氣體藉甴自射極} 53釋放的電子,形成 正離子,在絕緣層上充電,該正電荷在絕緣層下之M〇s 上形成不需要的反轉層,多餘的電流自包含該反轉層之不 需要的電流路徑流出,導致射極電流溢出。但是,由於在 MOSTFT上的絕緣層上形成金屬遮蔽膜151,以降低至接地 電位,因此可防止充電,及射極電流溢出。 (2) 螢光體156藉由自射極153釋放之電子的撞擊而發光 ,藉由該光線,MOSTFT之閘極通道内產生電子及空穴, 形成漏電流。但是,由於MOSTFT上之絕緣層上形成有金 屬遮蔽膜151,因此可防止光線射入TFT,不影響TFT的工 作。 繼續,說明該FED的製造處理,首先,如圖36之(1)所示 1___-88- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
裝 訂
552707 A7 B7 85 五、發明説明( ’經過上述步驟全面形成多晶性矽膜1丨7後,藉由一般光刻 及姓刻技術在MOSTFT1與MOSTFT2及射極區域上實施島 狀化,藉由電漿CVD、觸媒CVD法等全面形成保護用氧化 矽膜159。 繼續’為控制MOSTFT1,2之閘極通道的雜質濃度使vth 最佳化’藉由離子注入或離子摻雜法以5 X ίο11 at〇ms/cm2 的劑量全面摻雜硼離子83,設定1 x 1〇17at〇ms/CC的受體濃 度。 繼續’如圖36之(2)所示,將光阻82作為掩模,藉由離子 注入或離子摻雜法,,2之源極/汲極部及射極 區域’以1 X 1〇15 atoms/cm2的劑量摻雜磷離子79,設定2 χ 10 Q atoms/cc的施體濃度,分別形成源極區域12〇、汲極區 域121、射極區域152後,藉由一般光刻及蝕刻技術除去射 極區域的保護用氧化矽膜。另外,此時亦可在MOSTFT1上 形成(1〜5) X 1〇18 atoms/cc之施體濃度的ldd區域,使切換 特性提高。 繼續’如圖36之(3)所示,將形成射極區域之多晶性矽膜 152作為晶種,藉由以適量比率(如1〇2〇 at〇ms/cc)混合曱矽 烷與PH3等之摻雜物之觸媒c vd或偏壓觸媒c VD等,在射極 區域上形成厚度為1〜5 μηι之表面包含微細凹凸158的η型多 晶性石夕膜153 ’同時,在其他氧化矽膜159及玻璃基板111 上形成厚度為1〜5 μηι之η型非晶質矽膜16〇。 繼續’如圖36之(4)所示,藉由上述之觸媒aha處理時的 風系活性種(活化氫離子等),蝕刻除去非晶質矽膜160,蝕 552707 A7 B7 五、發明説明(86 ) 刻除去氧化矽膜159後,藉由觸媒CVD等形成閘極絕緣膜 (氧化矽膜)118〇 繼續,如圖37之(5)所示,藉由濺射法之鉬-钽合金等的耐 熱性金屬,形成連接於MOSTFT1,2之閘極115、MOSTFT1 之閘極的閘極線,形成重疊膜(氧化矽膜等)137後,藉由鹵 素燈等之快速加熱退火(RT A ; Rapid Thermal Anneal)處理 ,使摻雜之n型及p型雜質活化,於MOSTFT2之源極部開孔 後,以濺射法之鉬-钽合金等耐熱性金屬形成MOSTFT2之源 極127及接地線。繼續藉由電漿CVD、觸媒CVD等形成重疊 膜(氧化矽/氮化矽疊層膜等)136 ό 繼續,如圖37之(6)所示,實施MOSTFT1之源極/汲極部 及MOSTFT2之閘極部的開孔,以摻入1%矽之鋁配線128連 接MOSTFT1之汲極與MOSTFT2之閘極,同時形成 MOSTFT1之源極與連接於該源極的源極線127 〇之後,在 組成氣體中實施400°C,30分鐘的氫化及燒結處理。 繼續,如圖37之(7)所示,形成重疊膜(氧化矽/磷化氫矽 酸酯玻璃/氮化矽疊層膜等)130後,實施GND線的開孔,如 圖37之(8)所示,以鈮蒸鍍後之蝕刻形成引出閘極150及金 屬遮蔽膜1 5 1,繼續將電致發射陰極部開孔,使射極1 53露 出,以上述之電漿或觸媒AHA處理的氫系活性種(活化氫離 子等)實施洗淨。 先前之電致發射顯示(FED)裝置大致上區分成單純矩陣 與動態矩陣驅動,電致發射電子源(Field Emitter)如自旋型 淘射極、圓錐型石夕射極、MIM隧道射極、雙石夕射極、鑽石 -90 ~_ 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 552707 A7 I---------^______ 五、發明説明(87 ) ~ — 射極、表面傳導射極等,均可在平面基板上集中射極。單 純矩陣驅動係將排列在XY矩陣上之電致發射源陣列作為工 個像素使用,控制各像素的釋放量以實施圖像顯示。而動 態矩陣驅動係藉由控制閘控制形成在M0STFT之汲極部之 射極的發射電流。由於製作處理與一般矽LSI相容,因此在 電致發射顯示器外圍容易構成複雜的處理電路。但是,由 於使用矽單晶基板,因此基板成本較高,大面積化成晶圓 尺寸以上不易。因而揭示有包含藉由減壓CVD等在陰極表 面形成之導電性多晶矽膜,與藉由電漿CVD等在其表面形 成之結晶性鑽石膜之射極的製造,但是由於減壓CVD時的 成膜溫度高達630°c,無法採用玻璃基板,因此不易降低成 本。且藉由該減壓CVD形成之多晶矽膜的粒徑小,其上之 結晶性鑽石膜的粒徑亦小,射極特性不良。再者,電漿 由於反應能不足,因此不易獲得良好結晶性的鑽石膜。又 因透明電極或鋁、鈦、鉻等金屬陰極與導電性之多晶矽膜 的接合性差,因此無法發揮良好的電子釋放特性。 反之,依據本發明所形成之大粒徑多晶性矽膜為,可形 成在玻璃等基板上,與電流驅動用TFT之汲極連接之射極 區域的大粒徑多晶性矽膜,以此作為晶種,藉由觸媒cvd 等,形成η型(或型)之大粒徑多晶性矽膜(此亦可使單晶 性矽膜生長)(或後述之多晶性鑽石膜)的射極,之後,繼續 藉由觸媒AHA處理等,還原蝕刻非晶質構造之矽膜或非晶 質構造之鑽石膜(亦稱之為DLC:似鑽石碳),在表面形成包 含無數凹凸形狀之南晶化率/大粒徑的射極,因此,可形成 I___-91 - 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明(88 ) 電子釋放效率高的射極,且沒極與射極之接合性良好,可 形成高效率之射極特性。如此,即可消除上述先前的問題 (以下均同)。 此外,將一個像素顯示部之射極區域分割成數個,分別 連接切換元件之MOSTFT時,即使其中一個MOSTFT故障, 其他MOSTFT仍可工作,因此,一個像素顯示部必定為電 子釋放構造,其品質及良率均高,可降低成本(以下均同) 。且此等MOSTFT無電性導通不良之MOSTFT的問題,電性 短路之MOSTFT以雷射修理分離為一般提高良率的對策, 由於依據本發明之上述構造可加以因應,因此品質及良率 均高,可降低成本(以下均同)。 < FED之構造例11> 如圖38(A),(B),(C)所示,本構造例II係在玻璃等基板 1 11上,與上述構造例I同樣的,藉由依據本發明,以上述 方法所形成之高晶化率、大粒徑之多晶性矽膜,形成有切 換用MOSTFT1與電流驅動用MOSTFT2的閘極通道區域117 、源極區域120及汲極區域12卜繼續在閘極絕緣膜118上形 成有閘極115、在源極及汲極區域上形成主動極127及汲極 128。M0STFT1之汲極與MOSTFT2之閘極經由汲極128連接 ,同時在與MOSTFT2之源極127之間,經由絕緣膜136形成 有電容器C,且MOSTFT2之汲極121直接延伸至FED元件的 FEC(電致發射陰極),發揮射極區域152的功能。另外亦可 藉由在切換用MOSTFT1上形成LDD部,以提高切換特性。 各MOSTFT以絕緣膜130覆蓋,在該絕緣膜上,以FEC之 -92 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 一___B7 五、發明説明(89 ) 閘極引出電極150之同一材料,在同一步驟中形成有接地用 金屬遮蔽膜151,覆蓋在各MOSTFT上。FEC之包含多晶性 石夕膜之射極區域152上形成有構成電致發射射極之^型多晶 性矽膜163,並將絕緣膜118,137,136及130予以圖案化, 在m X 11個 < 各射極上形成用於劃分的開孔,在其上面覆 盖閘極引出電極150。 此外’相對於該FEC設有將附背面金屬155之螢光體ι56 作為陽極所形成之玻璃基板等基板157,並在與fec之間保 持高度真空。 該構造之FEC之閘極引出電極150的開孔下,生長在依據 本發明所形成之多晶性矽膜152上之n型多晶性矽膜163露 出其發揮釋放各個電子1 54之薄膜之面釋放型射極的功能 。亦即,由於射極底層之多晶性矽膜152包含大粒徑(晶粒 尺寸數100 nm以上)之晶粒,因此將此作為晶種, 由觸媒CVD等,使η型多晶性鑽石膜163生長時,該多'晶^ 鑽石膜163係以更大的粒徑生長,形成表面產生有利於電子 釋放的微細凹凸168者。 由於射極為包含薄膜之面釋放型,因此其形成容易,且 射極性能穩定,使用壽命長。 此外,由於在全部的主動元件(其中包含外圍驅動電路及 像素顯示部IMOSTFT與二極體)之上部形成有㈣電位之 金屬遮蔽膜151(該金屬遮蔽膜採用與弓丨出閘極15〇之同一 材料(鈮、鈦/鉬等),以相同之步驟形成時’在步驟上較適 合),因此與上述同樣的,在M0STFT上的絕緣層上形成金 -93 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7 B7 五、發明説明( 屬遮蔽膜1 5 1,以降低至接地電位,因此可防止充電,及射 極電流溢出,此外,由KM〇STFT上之絕緣層上形成有金 屬遮蔽膜151,因此可防止光線射入m〇STFT,不影響 MOSTFT的工作。因此,可形成包含高品質、高可靠性的 電致發射顯示(Fed)裝置。 繼續’說明該FED的製造處理,首先,如圖39之所示 ’經過上述步驟全面形成多晶性矽膜117後,藉由一般光刻 及蝕刻技術在MOSTFT1與MOSTFT2及射極區域上實施島 狀化,藉由電漿CVD、觸媒CVD法等全面形成保護用氧化 矽膜159。 _ 繼續,為控制MOSTFT1,2之閘極通道的雜質濃度使Vth 最佳化,藉由離子注入或離子摻雜法以5 χ 1〇1丨 的劑量全面摻雜硼離子83,設定i χ 1〇1、〖〇11^/(^的受體濃 度。 繼續,如圖39之(2)所示,將光阻82作為掩模,藉由離子 注入或離子摻雜法,在M0STFT1,2之源極/汲極部及射極 區域,以1 X l〇15atoms/cm2的劑量摻雜磷離子79,設定2 X l〇2Gat〇ms/cc的施體濃度,分別形成源極區域12〇、汲極區 域121、射極區域152後,藉由一般光刻及蝕刻技術除去射 極區域的保護用氧化石夕膜。 繼、.只’如圖39之(3)所示’將形成射極區域之多晶性石夕膜 152作為晶種’藉由以適量比率混合f钱與甲則叫及n 型換雜物,藉由觸媒CVD或偏壓觸媒CVD等在射極區域 上形成表面包含微細凹凸168的n+型多晶性鑽石膜163,同 五 發明説明(91 時,在其他氧化矽膜159及玻璃基板11丨上形成n+型非晶質 鑽石臈170。如藉由觸媒CVD等將大粒徑多晶性矽膜152作 為晶種,形成n+型結晶性鑽石膜的射極區域163,此時,在 甲烷(CHU)内適量添加n型雜質氣體(磷為膦pH3、砷為胂
AsH3 ’録為脎SbH3等),如適量添加膦Ph3,形成約5 x ! 02〇〜 1 X 1021at〇ms/cc之厚度為1〇〇〇〜5〇〇〇 nn^n+型多晶性鑽 f = 163。此時,係在其他保護用氧化矽膜上形成有^型非 曰曰貝鑽石膜170,該非晶質鑽石膜亦稱之為DLC膜(似鑽石 碳)。 知繼續,如圖39之(4)所示,藉由上述之觸媒AHA處理時的 氫系活f生種(活化氫離子等),I虫刻除去非晶質鑽石膜1 7 〇 , 蝕刻除去氧化矽膜159後,藉由觸媒CVD等形成閘極絕緣膜 (虱化矽膜等)118。此時,藉由觸媒AHA處理,以高溫氫分 子/氫原子/活化氫離子等還原蝕刻非晶質鑽石膜,同時還原 蝕刻形成在射極區域上之n+型多晶性鑽石膜163的非晶質 成分,形成高晶化率之n+型多晶性鑽石膜163。藉由該還原 蝕刻作用,形成有表面形成有無數凹凸形狀之n+型多晶性 鑽石膜之射極區域163。藉此,其他保護用氧化矽膜上之n +
型非晶質鑽石膜亦被還原蝕刻而除去。另外,上述觸媒CVD 及AHA處理宜採連續作業方式實施,以有助於防止污毕盥 生產性。 ^ 繼續,如圖40之(5)所示,藉由濺射法之鉬_鈕合金等的耐 熱性金屬,形成連接於MOSTFT1,2之閘極115、M〇STFT1 之閘極的閘極線,形成重疊膜(氧化矽膜等)137後,藉由鹵 552707 A7 B7 五、發明説明(92 ) 素燈等之快速加熱退火(RTA ; Rapid Thermal Anneal)處理 ,使摻雜之n型及p型雜質活化後,於MOSTFT2之源極部開 孔後,以濺射法之鉬-鈕合金等耐熱性金屬形成MOSTFT2 之源極127及接地線。繼續藉由電漿CVD、觸媒CVD等形成 重疊膜(氧化矽/氮化矽疊層膜等)136。 繼續,如圖40之(6)所示,實施MOSTFT1之源極/汲極部 及MOSTFT2之閘極部的開孔,以摻入1%矽之鋁配線128連 接MOSTFT1之汲極與MOSTFT2之閘極,同時形成 MOSTFT1之源極與連接於該源極的源極線127。 繼續,如圖40之(7)所示,形成重疊膜(氧化矽/磷化氫矽 酸酯玻璃/氮化矽疊層膜等)130後,實施GND線的開孔,在 組成氣體中實施400°C,30分鐘的氫化及燒結處理。繼續如 圖40之(8)所示,以鈮蒸鍍後之蝕刻形成引出閘極150及金 屬遮蔽膜1 5 1,繼續將電致發射陰極部開孔,使射極1 6 3露 出,以上述觸媒AHA處理的氫系活性種(活化氫離子等)實 施洗淨。亦即,藉由一般光刻及蝕刻技術,以氧系蝕刻液 之濕式蝕刻,除去鈦/鉬(Ti/Mo)膜或鈮(Nb)膜,以氟酸系蝕 刻液之濕式蝕刻除去氧化矽膜及PSG膜,以CF4等電漿蝕刻 除去氮化矽膜。此外,對電致發射陰極(射極)部之多晶性 鑽石膜163實施觸媒AHA處理加以洗淨,以觸媒AHA處理之 高溫氫分子/氫原子/活化氫離子等除去附著在膜表面之微 細凹凸部内的有機污垢、水分、氧/氮/碳酸氣體等,以提高 電子釋放效率。 另外,上述於形成多晶性鑽石膜163時,使用之原料氣體 _-96-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707
的含碳化合物如: Π曱烷、乙烷、丙烷、丁烧、等石蠟系碳化氫 2) 乙炔、丙炔系之乙炔系碳化氫 3) 乙烯、丙烯、丁烯等烯系碳化氫 4) 丁二烯等二烯系碳化氫 5) 鞦丙烷、% 丁烷、環戊烷、環己烷等脂環式碳化氫 6) 環丁二烯、苯、三稀經、二甲苯、蔡等芳香族碳化氮 7) 丙酮、戊_、二苯曱調等酮類 8) 曱醇、乙醇、等醇類 9) 二曱胺、三乙胺等胺類 10) 由石墨、石碳、焦炭等炭原子組成之物質 此等亦可單獨使用一種,亦可併用兩種以上。 此外,可使用之惰性氣體如氬、氦、氖、氪、氣、氣。 摻雜物可使用如包含蝴、鐘、氮、罐、硫確、氣、石申、砸 、鈹等化合物或單體,其摻雜量可w 020 atoms/cc。 1五種f施形熊 本實施形態係將本發明應用在電氣光學轉換裝置的太陽 電池上者。以下顯示其製造例。 -首先HI41之⑴所示,在不鏽鋼等金屬基板ηι上, 藉由電聚CVD、觸媒CVD等形成n型低度結晶性石夕膜7A(厚 度1 〇〇〜2〇〇 nm)。此時,在甲矽烷内適量混入PH3等η型摻雜 物,使其含 1 X ίο丨M x 1〇2〇at〇ms/cc。 繼續,藉由電聚CVD'觸媒CVD等,堆疊形成㈤低度結 晶性矽膜180A(厚度2〜5 μηι)。繼續,藉由電漿CVD、觸媒 -97·
裝 訂
線 本纸張尺度適用中國國家標準(CNS) A4規格(咖x 297公爱_)· 552707 A7 ---- B7 五、發明説明(94 ) CVD等’形成p型低度結晶性矽膜mA(厚度1〇〇〜2〇()㈣) 此時’在甲石夕烧内適量混入B2H(S等p型摻雜物,使其含1 χ 1019〜1 X l〇20 atoms/cc。 繼續,如圖41之(2)所示,藉由電漿CVD、觸媒CVD等, 形成厚度為50〜1〇〇 11111的覆蓋用絕緣膜235(氧化矽膜、氮化 矽膜、氧氮化矽膜、氧化矽/氮化矽疊層膜等)。
繼續,在此狀態下,藉由本發明之雷射退火之雷射光束 照射210的退火,使全部之低度結晶性矽膜7A, i8〇a,i8iA 變質成多晶性矽膜7,180,181,同時使各膜中的雜質活 化。 — 繼續,如圖42之(3)所示,除去覆蓋用絕緣膜235,在組 成氣體中實施400°C,1小時的氫化處理。繼續全面形成厚 度為100〜150 nm的透明電極(IT0(氧化銦錫)、IZ〇(氧化銦 鋅)等)182 ,在其上使用硬掩模,於特定區域形成厚度為 100〜150 nm的銀等梳形電極183。 另外,亦可在上述低度結晶性矽膜7a,18〇a,ι81Α上, 與上述同樣的適量摻入鎳、錫等觸媒元素,使其含丨χ 1〇18〜1 X 1020at〇ms/cc,促進晶化形成。另外,由於採用帶 精製法或多重帶精製法,因此此等觸媒元素當然不致殘留 在多晶性矽膜中。 本實施形態之太陽電池藉由依據本發明之大粒徑的多晶 性矽膜,可以高移動率形成轉換效率大的光電轉換薄膜, 形成有良好表面紋理構造與内面紋理構造,因此可以形成 遮光效果高,轉換效率大的光電轉換薄膜。且除太陽電池 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 552707 A7
之外,亦可有效利用於電子照相用感光體滾筒等薄膜光電 轉換裝置上。 以上所述之本發明的實施形態可依據本發明的技術構想 作各種改變。 " 例如,上述觸媒CVD、電漿CVD等汽相生長法及本發明 之雷射退火之反覆次數、雷射光束照射時間 '基板溫度等 各條件可作各種改變,使用之基板等材質亦不限定於上述 者, 此外’本發明係適用於顯示部等内部電路及外圍驅動電 路及影像信號處理電路及記憶體電路等MOSTFT,不過除 此之外,亦可以本發明之多晶性半導體膜或單晶性半導體 膜形成二極體等元件之主動區域及電阻、電容、配線、電 感等無源區域。 【發明之作用效果】 如上所述,本發明係在基體上形成低度結晶性半導體薄 膜’在該低度結晶性半導體薄膜上實施光諧波調制UV或/ 及DUV雷射退火,藉由熔融或半熔融或非熔融狀態之加熱 與冷卻,促進前述低度結晶性半導體薄膜之晶化,以形成 多晶性或單晶性半導體薄膜,因此包含以下之(1)〜(12)項所 示的顯著作用效果。 (1)照射藉由非線形光學效果以產生光諧波之高輸出之 UV或/及DUV雷射光束,藉由將非晶質石夕膜等低度結晶性 半導體薄膜加熱成溶融或半炫融狀態,或以非溶融狀態加 熱,使其冷卻而晶化之所謂光諧波調制UV或/及DUV雷射 _;_-99- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 96 五、發明説明( 退火,賦予低度結晶性半導體薄膜高照射能,藉由將其加 熱=炼融或半熔融狀態,或以非炫融狀態加熱、冷卻,可 狻得^粒徑之高載體移動率,高品質之多晶性矽膜等多晶 性或單晶性半導體薄膜,可大幅提高生產性及大幅降低成 本〇 (2)由於本發明之雷射退火係藉由同時使上述加熱帶移 動之所謂的帶精製法,為求促進晶化而預先添加之達成其 功能之鎳等觸媒元素及其他雜質元素離析至高溫的熔融帶 ’因此可輕易除去,不殘留在膜中,目而容易獲得大粒徑 之高載體移動率、高品質的多晶性半導體薄膜。再者,此 時猎由連續以數條雷射光束照射,反覆熔融帶與冷卻部之 所謂的多重帶精製法,更可獲得大粒徑、高品質的多晶性 半導體薄膜。藉由該高純度化,可不損及半導體特性而提 高製作之元件的穩定性與可靠性。又因藉由光諧波調制1^^ 或/及DUV雷射退火之帶精製法或多重帶精製法的簡單處 理,以有效除去達成促進晶化功能之觸媒元素及其他元素 ’因此可藉由減少工時而降低成本。 (3) 由於多晶性矽等結晶粒集中於雷射掃瞄方向,因此藉 由在該方向形成TFT ,晶界不均及應力減少,可形成高^ 動率的多晶性矽膜等。 (4) 藉由反覆以光諧波調制uv或/及DUV雷射退火之帶精 製法或多重帶精製法促使晶化的多晶性矽等膜上堆疊低度 結晶性石夕等膜,再度以該雷射退火促使晶化的方法,可以 μπι單位的厚度堆疊形成大粒徑之高載體移動率、高品質的 552707 A7 B7 五、發明説明(97 ) 多晶性矽膜等。藉此,除MOSLSI之外,亦可形成高性能、 高品質之雙極LSI、COMS感測器、CCD面性/線性感測器、 太陽電池等。 (5) 光諧波調制UV或/及DUV雷射藉由控制其波長、照射 強度及照射時間等,並聚光整形成線狀、長方形或正方形 ,可任意設定雷射光束徑及雷射掃瞄間距等,促進照射強 度,亦即熔融效率及生產量提高而降低成本。且藉由①使 雷射光在固定之基板上進行電流計掃瞄,②藉由對固定之 雷射光,使基板以高精度步進馬達實施步進及反覆移動等 的加熱熔融及冷卻方法,再藉由數個雷射同步掃瞄,亦可 以短時間實施大面積(如1 m X 1 m)退火,可獲得大面積之 任意結晶粒及純度之多晶性矽膜等,因此可提高生產性, 降低成本。 (6) 由於以非線形光學結晶以產生諧波的UV或/及DUV雷 射,主要係將高輸出之半導體雷射激勵YAG(鈥·· YAG ;添 加鈦之釔鋁石榴石)雷射作為基本波,因此,保修安全、容 易,顯示穩定的高輸出,形成小型、低耗電且廉價的雷射 裝置。 (7) 由於光諧波調制UV或/及DUV雷射退火可任意選出如 非晶質矽膜之光吸收效率高的200〜400 nm波長,實施高輸 出單一波長的雷射光束照射,因此,照射面之能量分布不 均、所獲得之晶化半導體膜不均、各TFT之元件特性不均 的情形少,可因高生產量的高聲產性而降低成本。 (8) 本發明使用之光諧波調制UV或/及DUV雷射藉由選擇 _-101 -___ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A7 _______ B7 五、發明説明( ) 98 及結合基本波與非線形光學結晶,容易控制波長及照射強 度’可任意選出如非晶質矽膜之光吸收效率高的2〇〇〜4〇〇 nm波長’實施高輸出單一波長的雷射光束照射。 (9) 再者,由於可將照射雷射光任意聚光整形成線狀、長 方形或正方形等以進行雷射光束照射,因此,照射面之能 量分布不均、所獲得之晶化半導體膜不均、各TFT之元件 特性不均的情形少,可因高生產量的高聲產性而降低成本。 (10) 如以第三諧波產生之波長355 nm的UV雷射光束使低 度結晶性半導體薄膜熔融及冷卻而晶化時,同時照射波長 為1064 nm之基本波的紅外光雷射光束、或第二諧波之波長 為5 32 nm之可見光雷射光束、或其紅外光雷射光束及可見 光雷射光束的混合雷射光束,可將低度結晶性半導體薄膜 及玻璃基板與以加熱,由於此等被充分加熱,因此容易確 實執行促進逐漸冷卻而晶化。又因不捨棄基本波及第二諧 波’可有效使用此等,因此可減少全般的耗電。 (11) 由於光諧波調制UV或/及DUV雷射退火可適用於低 溫(200〜400°C ),因此可採用廉價且大型化容易之低畸變點 玻璃及耐熱性樹脂,以促使重量減輕與降低成本。 (12) 除表面閘型之外,為求底面閘型、雙閘型m〇stft 亦可獲得高載體移動率之多晶性半導體膜或單晶性半導體 膜等,可製造使用該高性能之半導體膜之快速、高電流密 度的半導體裝置、電氣光學裝置甚至高效率的太陽電池等 。例如,可製造矽半導體裝置、矽半導體積體電路裝置、 電致發射顯示器(FED)裝置、矽-鍺半導體裝置、碎-錯半導 -102. 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)

Claims (1)

  1. •種半導體薄膜的形成方法,其特徵在於: 於基體上形成多晶性或單晶性半導體薄膜時,包含: 第一步驟,其係在前述基體上形成低度結晶性半導體 薄膜;及 1 第二步驟,其係對於前述低度結晶性半導體薄膜實施 近紫外線(UV)或/及遠紫外線(DUV)雷射退火,藉由在熔 嘁或半熔融或非熔融狀態下加熱與冷卻,以促進前述低 度結晶性半導體薄膜的晶化。 2·種半導體裝置的製造方法,其特徵在於: 於基體上製造具有多晶性或單晶性半導體薄膜之半 導體裝置時,包含: 、 第步驟’其係在前述基體上形成低度結晶性半導體 薄膜;及 第二步驟,其係對於前述低度結晶性半導體薄膜實施 近紫外線(UV)或/及遠紫外線(DUV)雷射退火,藉由在熔 融或半熔融或非熔融狀態下加熱與冷卻,以促進前述低 度結晶性半導體薄膜的晶化。 3.如申請專利範圍第“戈2項之方法,其中反覆實施前述第 一步驟與前述第二步驟。 4· t申請專利範圍第丨或2項之方法,其中將藉由非線形光 孥效果產生有光諧波之近紫外線(uv)或/及遠紫外線 (DUV)雷射光束使用在前述雷射退火上。 5.如申請專利範圍第4項之方法,其中將產生有光諧波之 前述雷射光束與光諧波產生前之基本波混合使用。 夂爪农尺 lit财國國 ............. — 552707 A8 B8 C8
    6. 7. 8. …月㈣圍第4項之方法,其中藉由對前述基體相 ㈣掃晦前述雷射光束以實施照射之帶精製法,或以相 互前後之方式,對前述基體相對性料數個前述雷射光 束之多重帶精製法’以實施前述雷射退火。 如申請專利範圍第6項之方法,其中將前述基體或雷射 位置予以固定,同時使前述雷射或前述基體移動。 如申請專利範圍第4項之方法,其中在上述雷射光束中 ,在紐波長成分之前或其前方位置對前述基體照射其 波長成分。 9. 如申請專利範圍第5項之方法,其中在上述雷射光束中 ,在短波長成分之前或其前方位置對前述基體照射其長 波長成分。 H).如申請專利範圍第_項之方法,其中於前述雷射退火 時’對前述基體吹熱風。 11·如申請專利範圍第以2項之方法’其中使前述低度結晶 性半導體薄膜上適量含有至少一種觸媒元素,在該狀態 下實施前述第二步驟。 12·如申^專利範圍第項之方法,其中藉由前述雷射退 火使别述低度結晶性半導體薄膜轉變成大粒徑之多晶 性半導體薄膜。 13.如申請專利範圍第i或2項之方法,其中於前述基體之特 疋元件形成預定區域内形成特定形狀及尺寸之有階差 的凹部,在包含該凹部之前述基體上,形成含有或不含 觸媒元素之至少一種的前述低度結晶性半導體薄膜後 -2 ------ _ 丨丨,丨啊> 州I 丨 _ | - 本紙張尺度適用中國國家標準(CNS) A4規格(210x 297公釐) «..uju ji.„ I Tii .i.caaa—MetiT.aLw.«psaaaapgBgiaa, 552707 A8 B8 C8
    藉由$述雷射退火,使前述階差的底邊角部在晶種上 圖形外延生長,使前述低度結晶性半導體薄膜變質 晶性半導體薄膜。 申明專利範圍第1或2項之方法,其中於前述基體之特 定兀件形成預定區域内形成與單結晶半導體晶格整合 良好之物貝層,在該物質層上形成含有或不含觸媒元素 之至少一種的前述低度結晶性半導體薄膜後,藉由前述 雷射退火,使前述物質層在晶種上異質外延生長,使前 述低度結晶性半導體薄膜變質成單晶性半導體薄膜。 15·如申明專利範圍第1或2項之方法,其中係將前述第一步 驟與㈤述第二步驟藉由至少將此二步驟一體化之裝置 連續或依序實施。 16.如申晴專利範圍第3項之方法,其中於再度實施前述雷 射退火則,對前述多晶性半導體薄膜,使氫或含氫氣體 之電漿放電或觸媒反應所產生之氫系活性種等作用,實 施岫述多晶性半導體薄膜的表面潔淨及/或除去低度氧 化復膜,之後,在前述低度結晶性半導體薄膜形成後實 施前述雷射退火。 17’如申凊專利範圍第1或2項之方法,其中係在減壓氫中或 含減壓氫之氣體中或真空中實施前述雷射退火。 18·如申請專利範圍第項之方法,其中前述雷射退火時 ,係將前述基體加熱至其畸變點以下的溫度。 19·如申請專利範圍第i或2項之方法,其中在前述低度結晶 -3 :297公釐) 六、申請專利範圍 性半導體薄膜上形成保護用絕緣膜,於此一狀態下,在 空氣中或大氣壓氮氣中實施前述雷射退火。 20·如申請j利範圍第1或2項之方法,其中對形成在前述基 體上之前述低度結曰曰“生半導體薄冑,或t蓋保護用絕緣 膜後,以前述低度結晶性半導體薄膜之雷射光束照射實 施前述雷射退火時,自其上面或自下面或同時自上面與 下面實施前述雷射光束照射(惟除自上面照射以外,基體 須透明(400 nm以下波長的光也可透過))。 21·如申明專利範圍第20項之方法,其中前述低度結晶性半 導體薄膜或覆蓋前述保護用絕緣膜之前述低度結晶性 半導體薄膜係經島狀化者。 22.如申請專利範圍第20項之方法,其中於大氣壓氮氣中或 空氣中實施前述雷射光束照射。 23·如申請專利範圍第20項之方法,其中於減壓氫氣中或含 減壓氫之氣體中或真空中實施前述雷射光束照射。 24.如申請專利範圍第1或2項之方法,其中在磁場及/或電場 的作用下實施前述雷射退火。 25·如申請專利範圍第i或2項之方法,其中前述低度結晶性 半導體薄膜包含:非晶質矽膜、含微結晶矽之非晶質矽 膜、微結晶矽(含非晶質矽微結晶矽)膜、含非晶質矽及 微結晶石夕之多晶矽膜、非晶質鍺膜、含微結晶鍺之非晶 質鍺膜、微結晶鍺(含非晶質鍺之微結晶鍺)膜、含非晶 質鍺及微結晶鍺之多結晶鍺膜、以sixGei x (〇< χ<丨)表 示之非晶質矽鍺膜、非晶質碳膜、含微結晶碳之非晶質
    -4 1 繁明 -1 j-i 1111 .一 "I ii _ ^ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 552707 A8 B8 C8 D8
    碳膜、微結晶碳(含非晶質碳之微結晶碳)膜、含曰⑽ 碳及微結晶碳之多結晶碳膜、以SixCi x χ ^非晶質 η # a』一 ·、— 1 )表示之 非晶質矽碳膜、或以GaxASl_x (〇< χ<丨)表 砷膜 之非晶質 鎵 26.如申請專利範圍第項之方法,其中藉由前 或單晶性半導體薄膜形成薄膜絕緣閘型場效電曰9曰11 通道、源極及汲極區域、或二極體、配線、電阻曰曰體之 或電子釋放體等。 、電容 A如申請專利範圍第26項之方法,其中為形成前 源極及汲極區域、二極體、電阻、電容、配綠、-道、 放體等,係將前述低度結晶性半導體薄膜予以^釋 (島狀化)後’實施前述雷射退火。 -、化 28.如申請專利範圍第丨或2項之方法,其係製造矽半 置、石夕半導體積體電路裝置、石夕_錯半導體裝置、石夕敍 半導體積體電路裝置、化合物半導體裝置、化合 體積體電路裝置、碳化矽半導體裝置、碳化矽半導體 體電路裝置、多晶性鑽石半導體裝置、多晶性鑽石半導 體積體電路裝置、液晶顯示裝置、有機或無機電致發光 (EL一)顯示裝置、電致發射顯示器(fed)裝置嗜光聚合物 顯不裝置、發光二極體顯示裝置、CCD面性/線性感測器 裝置、CMOS感測器裝置、及太陽電池裝置用的薄膜。 29.如申請專利範圍第28項之方法,其中於製造包含内部電 路及外圍電路之半導體裝置、電氣光學顯示裝置、固體 攝影裝置等時’係藉由前述多晶性或單晶性半導體薄膜 .................. j 552707 申請專利範圍 ,形成構成至少一種此等電路之薄膜絕緣閘型場效電晶 體之通道、源極及沒極區域。 30·如申請專利範圍第29項之方法,其中分別在各色用之有 機或無機電致發光層的下層具有與前述薄膜絕緣閘型 場效電晶體之沒極或源極連接之陰極或陽極。 31.如申請專利範圍第30項之方法,其係製造包含前述薄膜 絕緣間型場效電晶體及二極體之主動元件上亦覆蓋前 述陰極,或在前述各色用之有機或無機電致發光層之各 層上及各層間的全面上覆蓋前述陰極或陽極的裝^。 裝 32·如申請專利範圍第3〇項之方法,其中係在前述各色用之 前述有機或無機電致發光層間形成黑色掩模層。 訂 33·如申請專利範圍第29項之方法,其中藉由經由前述多晶 性或單晶性半導體薄膜連接於前述薄膜絕緣間型場效 電晶體之沒極,同時生長在前述多晶性或單晶性半導體 溥膜上之η型多晶性半導體膜或多晶性鑽石 致發射顯示裝置的射極。 々乂电 34. 如申請專利範圍第33項 人二 乃凌其中經由絕緣膜,在包 §則述薄膜絕緣閘型場效電 包日日聪及一極體之主動开株 上形成接地電位的金屬遮蔽膜。 35. 如申請專利範圍第34項之方、本 ^ ^ f f ^ ^ Η, φ ^ 去,其中以與前述電致發射 ,貝丁衣置之閘極引出電極之同一 前述金屬遮蔽膜。 〃 ,L同一步驟形成 36·種半導體薄膜的形成裝置,$ ^ / 或單晶性半導體薄膜,其特徵:=基體上形成多晶性 -6- 本紙張尺度適用中國
    〜707
    第一機構,其係在前述基體上形成低度結晶性半導體 薄膜;及 第二機構,其係在前述低度結晶性半導體薄膜上實施 近紫外線(UV)或/及遠紫外線(DUV)雷射退火,藉由在溶 ㉞或半炼融或非熔融狀態下加熱與冷卻,以促進前述低 度結晶性半導體薄膜的晶化。 種半導體裝置的製造裝置,用於在基體上製造包含多 曰曰性或單晶性半導體薄膜的半導體裝置,其特徵為包 含: 第一機構’其係在前述基體上形成低度結晶性半導體 薄膜;及 第一機構,其係在前述低度結晶性半導體薄膜上實施 近紫外線(UV)或/及遠紫外線(DUV)雷射退火,藉由在熔 融或半熔融或非熔融狀態下加熱與冷卻,以促進前述低 度結晶性半導體薄膜的晶化。 38·=申請專利範圍第36或37項之裝置,其中反覆使用前述 第一機構與前述第二機構。 39.如申請專利範圍第36或37項之裝置,其中將藉由非線形 光干效果產生有光諧波之近紫外線(uv)或/及遠紫外線 (DUV)雷射光束使用在前述雷射退火上。 级=申請專利範圍第39項之裝置,其中將產生有光譜波之 别述雷射光束與光諸波產生前之基本波混合使用。 钆如申請專利範圍第39項之褒置,其中藉由對前述基體相 對性掃猫前述雷射光束以實施照射之帶精製法,或以相
    552707 A8 B8 C8
    互刖後之方式,對前述基體相對性掃瞄數個前述雷射光 束之多重帶精製法,以實施前述雷射退火。 42·如申請專利範圍第41項之裝置,其中將前述基體或雷射 位置予以固$,同時使前述雷射或前述基體移動。 43·如申請專利範圍第39項之裝置,其中在上述雷射光束中 ,在短波長成分之前或其前方位置對前述基體照射兑 波長成分。 t 44. 如申請專利範圍第4〇項之裂置,其中在上述雷射光束中 ,在短波長成分之前或其前方位置對前述基體照射兑 波長成分。 & 45. 如申請專利範圍第36或37項之裝置,其中於前述雷射退 火時’對前述基體吹熱風。 抓如申請專利範圍第36或37項之裝置,其中包含使前述低 度結晶性半導體薄膜上適量含有至少一種觸媒元素 機構。 μ 47. 如申請專利職第36或37項之裝置,其巾係將前述第— 步驟與前述第二步驟藉由至少將此二步驟一體化之 置連續或依序實施。 48. 如申請專利範圍第38項之裝置,其中包含於再度實施前 ,田射退火W ’對前述多晶性半導體薄膜,使氫或含氯 氣體之電聚放電或觸女某反應所產生之氫系活性種等作 用1施前述多晶性半導體薄膜的表面潔淨及/或除去低 度氧化覆膜的機構。 49· 士巾’月專利範圍第36或37項之裝置,其中係在減壓氫中 ^----.................---丨丨丨 本紙張尺度適m 巾目 ® 家A4規格(210 X _ιη ................— 552707 A8 B8 C8
    或含減壓氫之氣體中或真空中實施前述雷射退火。 5〇.如申請專利範圍第36或3 7項之裝置,其中前述雷射退火 日守’係將前述基體加熱至其畸變點以下的溫度。 51·如申請專利範圍第36或37項之裝置,其中在前述低度結 曰曰性半導體薄膜上形成保護用絕緣膜,於此一狀態下, 在二氣中或大氣壓氮氣中實施前述雷射退火。 52·如申請專利範圍第36或37項之裝置,其中對形成在前述 基體上之前述低度結晶性半導體薄膜,或覆蓋保護用絕 ,膜後,以前述低度結晶性半導體薄膜之雷射光束照射 述雷射退火時,自其上面或自下面或同時自上面 與下面實施前述雷射光束照射(惟除自上面照射以外,基 體為透明(400 nm以下波長的光也可透過))。 53· ^申請專利範圍第52項之裝置,其中前述低度結晶性半 導體薄膜或覆蓋前述保護用絕緣膜之前述低度結晶性 半導體薄膜係經島狀化者。 54·如申請專利範圍第52項之裝置,其中於大氣廢氮氣中或 空氣中實施前述雷射光束照射。 55. 如申請專利範圍第52項之裝置,其中於減壓氫氣中或含 減壓氫之氣體中或真空中實施前述雷射光束照射。 56. 如申請專利範圍第36或37項之裝置,其中在磁場及/或電 場的作用下實施前述雷射退火。 57. 如申請專利範圍第36或37項之裝置,其中前述低度結晶 性半導體薄膜包含:非晶質矽膜、含微結晶矽之非晶質 矽膜、微結晶石夕(含非晶質石夕微結晶石夕)膜、含非晶質_ 本紙張尺度適用中國國象標準(CNS) Α4規格(210 X 297公董)
    裝 η
    552707 A8 B8 C8
    及微結晶之矽多晶矽膜、非晶質鍺膜、含微結晶鍺之非 晶質鍺膜、微結晶鍺(含非晶質鍺之微結晶鍺)膜 '含非 晶質鍺及微結晶鍺之多結晶鍺膜、以SixGei_x (〇< X〈 1) 表示之非晶質矽鍺膜、非晶質碳膜、含微結晶之碳非晶 貝石反膜、微結晶碳(含非晶質碳之微結晶碳)膜、含非晶 質碳及微結晶碳之多結晶碳膜、以sixCk (〇< 1)表示 之非晶質矽碳膜、或以GaxAsi x(〇<x<1)表示之非晶質 鎵砷膜。 ' 58.如申=專利範圍第36或37項之裝置,#中藉由前述多晶 性或單晶性半導體薄膜形成薄膜絕緣閘型場效電晶體 之通道、源極及汲極區域、或二極體、配線、電阻、電 容或電子釋放體等。 59·如申請專利範圍第58項之裝置,其中為形成前述通道、 源極及汲極區域、二極體、電阻、電容、配線、電子釋 放體等,係將前述低度結晶性半導體薄膜予以圖案化 (島狀化)後,實施前述雷射退火。 6〇.如申請專利範圍第36或37項之裝置,其係製造料導體 裝置、矽半導體積體電路裝置、矽_鍺半導體裝置、矽_ 鍺半導體積體電路裝置、化合物半導體裝置、化合物半 導體積體電路裝置、碳化梦半導體裝置、碳化石夕半導體 積體電路裝置、多晶性鑽石半導體裝置、多晶性鑽石半 導體積體電路裝置、液晶顯示裝置、有機或無機電致發 光(EL)顯示裝置、電致發射顯示器(fed)裝置、發光聚合 物顯示裝置、發光二極體顯示裝置、c c D面性/線性感測 -10- 本紙張尺度適用中國國
    in一』-..........—, 552707 A B c D 六、申請專利範圍 =置、CMOS感測器裝置、及太陽電池裝置用的薄 61·:ΠΓ範圍第60項之裝置,其中於製造包含内部電 路及外圍電路之半導體裝置、興 攝影裝置等時,係日 子顯不裝置、固體 =成:少-種此等電路之薄膜絕緣間型場效2 體之通逼、源極及汲極區域。 61如申請專利範圍第61項之裝置,其中係製造分別在各色 用之有,或無機電致發光層的下層具有與前述薄膜絕 型场效電晶體之汲極或源極連接之陰極或陽極 裝置。 认如申請專利範圍第62項之裝置,其係製造包含前述薄膜 絶緣閘型場效電晶體及二極體之主動元件上亦覆蓋前 述陰極,或在前述各色用之有機或無機電致發光層之各 層上及各層間的全面上覆蓋前述陰極或陽極的裝置。 64. ^申請專利範圍第62項之裝置,其中係在前述各色用之 前述有機或無機電致發光層間形成黑色掩模層。 65. 如申,專利範圍第61項之裝置,其中藉由經由前述多晶 性^單晶性半導體薄膜連接於前述薄膜絕緣閘型場= 電晶體之汲極,同時生長在前述多晶性或單晶性半導^ 薄膜上之η型多晶性半導體膜或多晶性鑽石膜,形成電 致發射顯示裝置的射極。 … 66.如申請專利範圍第65項之裝置,其中經由絕緣膜,在包 含前述薄膜絕緣閘型場效電晶體及二極體之主動元5 -11 本紙银尺度適用f關家 552707 A8 B8
    上形成接地電位的金屬遮蔽膜。 67.如申請專利範圍第66項之裝置,其中以與前述電致發射 顯示裝置之閘極引出電極之同一材料,以同一步驟形成 前述金屬遮蔽膜。 68· -種電氣光學裝置,其特徵為··分別在各色用之有機或 無機電致發光層的下層,具有與包含申請專利範圍第i 或2項之多晶性或單晶性半導體薄膜之薄膜絕緣閘型場 效電晶體之汲極或源極連接的陰極或陽極,包含前述薄 膜絕緣閘型場效電晶體及二極體之主動元件上亦被前 述陰極覆蓋,或别述各色用有機或無機電致發光層之各 層上及各層間的全面覆蓋有前述陰極或陽極。 队如申請專利範圍第68項之電氣光學裝置,其中在前述各 色用之前述有機或無機電致發光層間形成有黑色掩模 層。 7〇· 一種電氣光學裝置,其特徵為··電致發射顯示器裝置之 射極,係經由前述多晶性或單晶性半導體薄膜,連接於 包含申請專利範圍第1或2項之多晶性或單晶性半導體 薄膜之薄膜絕緣閘型場效電晶體的汲極,同時藉由生長 在丽述多晶性或單晶性半導體薄膜上之n型多晶性半導 體膜或多晶性鑽石膜所形成。 71·如申請專利範圍第70項之電氣光學裝置,其中經由絕緣 膜,在包含前述薄膜絕緣閘型場效電晶體及二極體之主 動元件上形成接地電位的金屬遮蔽膜。 72·如申請專利範圍第7 1項之電氣光學裝置,其中前述遮蔽 1 -12- 良紙尺度適用中國國豕標準(CNg) A4規袼(2i〇x 297公蔆)
    552707 8 8 8 8 A B c D 六、申請專利範圍 膜以與前述電致發射顯示裝置之閘極引出電極之同一 材料,以同一步驟形成。 -13- 木紙張又度適用中國國家標準(CNS) A4規格(210 X ‘297公釐)
TW091101650A 2001-02-01 2002-01-31 Method for forming thin semiconductor film, method for fabricating semiconductor device, system for executing these methods and electro optic device TW552707B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001024999A JP2002231628A (ja) 2001-02-01 2001-02-01 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置

Publications (1)

Publication Number Publication Date
TW552707B true TW552707B (en) 2003-09-11

Family

ID=18890060

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091101650A TW552707B (en) 2001-02-01 2002-01-31 Method for forming thin semiconductor film, method for fabricating semiconductor device, system for executing these methods and electro optic device

Country Status (4)

Country Link
US (1) US20030148565A1 (zh)
JP (1) JP2002231628A (zh)
TW (1) TW552707B (zh)
WO (1) WO2002061816A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI407562B (zh) * 2006-04-27 2013-09-01 Semiconductor Energy Lab 半導體裝置及使用該半導體裝置之電子器具
CN106024606A (zh) * 2015-03-27 2016-10-12 Ap系统股份有限公司 制造半导体装置的设备以及使用其制造半导体装置的方法
CN108269732A (zh) * 2017-01-03 2018-07-10 联华电子股份有限公司 形成非晶硅多层结构的方法

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555449B1 (en) 1996-05-28 2003-04-29 Trustees Of Columbia University In The City Of New York Methods for producing uniform large-grained and grain boundary location manipulated polycrystalline thin film semiconductors using sequential lateral solidfication
US6830993B1 (en) 2000-03-21 2004-12-14 The Trustees Of Columbia University In The City Of New York Surface planarization of thin silicon films during and after processing by the sequential lateral solidification method
CA2389607A1 (en) 2000-10-10 2002-04-18 The Trustees Of Columbia University Method and apparatus for processing thin metal layers
US6855584B2 (en) * 2001-03-29 2005-02-15 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP4854866B2 (ja) * 2001-04-27 2012-01-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7133737B2 (en) * 2001-11-30 2006-11-07 Semiconductor Energy Laboratory Co., Ltd. Program for controlling laser apparatus and recording medium for recording program for controlling laser apparatus and capable of being read out by computer
US7135389B2 (en) * 2001-12-20 2006-11-14 Semiconductor Energy Laboratory Co., Ltd. Irradiation method of laser beam
WO2004017380A2 (en) 2002-08-19 2004-02-26 The Trustees Of Columbia University In The City Of New York A single-shot semiconductor processing system and method having various irradiation patterns
JP4873858B2 (ja) 2002-08-19 2012-02-08 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク エッジ領域を最小にするために基板のフィルム領域のレーザ結晶化処理方法及び装置並びにそのようなフィルム領域の構造
JP2004128421A (ja) 2002-10-07 2004-04-22 Semiconductor Energy Lab Co Ltd レーザ照射方法およびレーザ照射装置、並びに半導体装置の作製方法
US20040084679A1 (en) * 2002-10-30 2004-05-06 Sharp Kabushiki Kaisha Semiconductor devices and methods of manufacture thereof
JP4429586B2 (ja) * 2002-11-08 2010-03-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7160762B2 (en) * 2002-11-08 2007-01-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device, semiconductor device, and laser irradiation apparatus
US7453129B2 (en) 2002-12-18 2008-11-18 Noble Peak Vision Corp. Image sensor comprising isolated germanium photodetectors integrated with a silicon substrate and silicon circuitry
US7056810B2 (en) * 2002-12-18 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor apparatus, and semiconductor apparatus and electric appliance
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
WO2004075263A2 (en) 2003-02-19 2004-09-02 The Trustees Of Columbia University In The City Of New York System and process for processing a plurality of semiconductor thin films which are crystallized using sequential lateral solidification techniques
EP1468774B1 (en) 2003-02-28 2009-04-15 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and method for manufacturing semiconductor device
US7063984B2 (en) * 2003-03-13 2006-06-20 Unity Semiconductor Corporation Low temperature deposition of complex metal oxides (CMO) memory materials for non-volatile memory integrated circuits
US6838396B2 (en) * 2003-03-28 2005-01-04 International Business Machines Corporation Bilayer ultra-thin gate dielectric and process for semiconductor metal contamination reduction
JP4373115B2 (ja) * 2003-04-04 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7397592B2 (en) 2003-04-21 2008-07-08 Semiconductor Energy Laboratory Co., Ltd. Beam irradiation apparatus, beam irradiation method, and method for manufacturing a thin film transistor
JP4515136B2 (ja) * 2003-04-21 2010-07-28 株式会社半導体エネルギー研究所 レーザビーム照射装置、薄膜トランジスタの作製方法
US7208395B2 (en) * 2003-06-26 2007-04-24 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing semiconductor device
WO2005029549A2 (en) 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for facilitating bi-directional growth
US7318866B2 (en) * 2003-09-16 2008-01-15 The Trustees Of Columbia University In The City Of New York Systems and methods for inducing crystallization of thin films using multiple optical paths
WO2005029546A2 (en) 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for providing a continuous motion sequential lateral solidification for reducing or eliminating artifacts, and a mask for facilitating such artifact reduction/elimination
US7164152B2 (en) 2003-09-16 2007-01-16 The Trustees Of Columbia University In The City Of New York Laser-irradiated thin films having variable thickness
WO2005029547A2 (en) 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Enhancing the width of polycrystalline grains with mask
TWI359441B (en) 2003-09-16 2012-03-01 Univ Columbia Processes and systems for laser crystallization pr
US7364952B2 (en) * 2003-09-16 2008-04-29 The Trustees Of Columbia University In The City Of New York Systems and methods for processing thin films
US7311778B2 (en) 2003-09-19 2007-12-25 The Trustees Of Columbia University In The City Of New York Single scan irradiation for crystallization of thin films
JPWO2005031781A1 (ja) * 2003-09-30 2006-12-07 住友電気工業株式会社 ダイヤモンド電子放出素子の製造方法ならびに電子放出素子
KR100558284B1 (ko) * 2003-12-24 2006-03-10 한국전자통신연구원 폴리실리콘층의 결정화/활성화 방법 및 이를 이용한폴리실리콘 박막트랜지스터 제조방법
US20050238816A1 (en) * 2004-04-23 2005-10-27 Li Hou Method and apparatus of depositing low temperature inorganic films on plastic substrates
JP4631044B2 (ja) * 2004-05-26 2011-02-16 国立大学法人北海道大学 レーザ加工方法および装置
KR101090252B1 (ko) * 2004-09-24 2011-12-06 삼성전자주식회사 박막 트랜지스터 표시판 및 그의 제조 방법
US7202124B2 (en) * 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7645337B2 (en) 2004-11-18 2010-01-12 The Trustees Of Columbia University In The City Of New York Systems and methods for creating crystallographic-orientation controlled poly-silicon films
TWI311213B (en) * 2004-12-24 2009-06-21 Au Optronics Corp Crystallizing method for forming poly-si films and thin film transistors using same
JP2006261611A (ja) * 2005-03-18 2006-09-28 Fuji Photo Film Co Ltd 有機エレクトロルミネッセント素子及び表示装置
US8221544B2 (en) 2005-04-06 2012-07-17 The Trustees Of Columbia University In The City Of New York Line scan sequential lateral solidification of thin films
KR100697693B1 (ko) * 2005-06-24 2007-03-20 삼성전자주식회사 피모스 트랜지스터와 그 제조 방법 및 이를 갖는 스택형반도체 장치 및 그 제조 방법
TWI424408B (zh) 2005-08-12 2014-01-21 Semiconductor Energy Lab 半導體裝置,和安裝有該半導體裝置的顯示裝置和電子裝置
EP1777690B1 (en) * 2005-10-18 2012-08-01 Semiconductor Energy Laboratory Co., Ltd. Display device
WO2007067541A2 (en) 2005-12-05 2007-06-14 The Trustees Of Columbia University In The City Of New York Systems and methods for processing a film, and thin films
CN101331592B (zh) * 2005-12-16 2010-06-16 株式会社半导体能源研究所 激光照射设备、激光照射方法和半导体装置的制造方法
US7848835B2 (en) * 2006-06-02 2010-12-07 Cymer, Inc. High power laser flat panel workpiece treatment system controller
JP2008041716A (ja) * 2006-08-01 2008-02-21 Ulvac Japan Ltd 磁気抵抗素子、磁気抵抗素子の製造方法及び磁気抵抗素子の製造装置
JP5307992B2 (ja) * 2007-07-27 2013-10-02 株式会社半導体エネルギー研究所 表示装置の生産方法
US8441018B2 (en) 2007-08-16 2013-05-14 The Trustees Of Columbia University In The City Of New York Direct bandgap substrates and methods of making and using
KR20100074193A (ko) 2007-09-21 2010-07-01 더 트러스티이스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 박막 트랜지스터에서 사용되는 측면 결정화된 반도체 섬의 집합
JP5385289B2 (ja) 2007-09-25 2014-01-08 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 横方向に結晶化した薄膜上に作製される薄膜トランジスタデバイスにおいて高い均一性を生成する方法
TWI377620B (en) * 2007-09-26 2012-11-21 Chunghwa Picture Tubes Ltd Fabricating method for a polysilicon layer
CN101842910B (zh) 2007-11-01 2013-03-27 株式会社半导体能源研究所 用于制造光电转换器件的方法
US8011207B2 (en) * 2007-11-20 2011-09-06 Corning Incorporated Laser scoring of glass sheets at high speeds and with low residual stress
WO2009067688A1 (en) 2007-11-21 2009-05-28 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
JP5443377B2 (ja) 2007-11-21 2014-03-19 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク エピタキシャルに配向された厚膜を調製するための調製システムおよび方法
US8012861B2 (en) 2007-11-21 2011-09-06 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
JP5286046B2 (ja) * 2007-11-30 2013-09-11 株式会社半導体エネルギー研究所 光電変換装置の製造方法
WO2009111340A2 (en) 2008-02-29 2009-09-11 The Trustees Of Columbia University In The City Of New York Flash lamp annealing crystallization for large area thin films
JP5460975B2 (ja) * 2008-05-23 2014-04-02 株式会社デンソー 半導体装置の製造方法
JP5552276B2 (ja) 2008-08-01 2014-07-16 株式会社半導体エネルギー研究所 Soi基板の作製方法
US8051679B2 (en) * 2008-09-29 2011-11-08 Corning Incorporated Laser separation of glass sheets
EP2351067A4 (en) 2008-11-14 2013-07-03 Univ Columbia SYSTEMS AND METHODS FOR CRYSTALLIZATION OF THIN FILMS
JP5182900B2 (ja) * 2009-01-30 2013-04-17 独立行政法人産業技術総合研究所 検体検出センサー及び検体検出方法
KR101393611B1 (ko) * 2009-06-02 2014-05-12 가부시키가이샤 사무코 반도체 디바이스용 반도체 기판의 제조방법, 반도체 디바이스용 반도체 기판의 제조장치, 반도체 디바이스의 제조방법 및 반도체 디바이스의 제조장치
US8440581B2 (en) 2009-11-24 2013-05-14 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse sequential lateral solidification
US9646831B2 (en) 2009-11-03 2017-05-09 The Trustees Of Columbia University In The City Of New York Advanced excimer laser annealing for thin films
US9087696B2 (en) 2009-11-03 2015-07-21 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse partial melt film processing
US20130119030A1 (en) * 2010-02-03 2013-05-16 Limo Patentverwaltung Gmbh & Co. Kg Method and apparatus for heat treating the wafer-shaped base material of a solar cell, in particular a crystalline or polycrystalline silicon solar cell
US9250178B2 (en) * 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
JP2013149937A (ja) * 2011-12-22 2013-08-01 Panasonic Corp 多結晶型シリコン太陽電池パネルおよびその製造方法
US9214393B2 (en) 2012-04-02 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Surface tension modification using silane with hydrophobic functional group for thin film deposition
CN103227090B (zh) * 2013-02-04 2016-04-06 深圳市劲拓自动化设备股份有限公司 一种线性等离子体源
CN103165422A (zh) * 2013-03-08 2013-06-19 上海和辉光电有限公司 以高能辐射源形成多晶硅的方法
US20140272198A1 (en) * 2013-03-15 2014-09-18 Stuart Bowden Systems, methods, and media for creating metallization for solar cells
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN104037269A (zh) * 2014-06-10 2014-09-10 上海大学 一种基于激光诱导晶化的非晶硅薄膜太阳能电池器件的制备方法
CN105793960B (zh) * 2014-06-12 2018-09-11 富士电机株式会社 杂质添加装置、杂质添加方法以及半导体元件的制造方法
JP6393632B2 (ja) * 2015-02-19 2018-09-19 東京エレクトロン株式会社 Iv族半導体の結晶化方法および成膜装置
US9773921B2 (en) * 2015-10-30 2017-09-26 Applied Materials, Inc. Combo amorphous and LTPS transistors
KR102041208B1 (ko) * 2015-11-12 2019-11-06 쿄세라 코포레이션 히터
US11154903B2 (en) * 2016-05-13 2021-10-26 Jiangsu Favored Nanotechnology Co., Ltd. Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization
DE102017109812A1 (de) 2016-05-13 2017-11-16 Osram Opto Semiconductors Gmbh Licht emittierender Halbleiterchip und Verfahren zur Herstellung eines Licht emittierenden Halbleiterchips
DE102017109809B4 (de) * 2016-05-13 2024-01-18 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung eines Halbleiterchips
DE102017108949B4 (de) 2016-05-13 2021-08-26 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Halbleiterchip
US11004954B2 (en) * 2016-09-30 2021-05-11 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in MOS transistors
CN107393830A (zh) * 2017-07-21 2017-11-24 京东方科技集团股份有限公司 薄膜晶体管的制备方法
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
TWI677109B (zh) * 2018-02-02 2019-11-11 國立臺灣大學 抬頭顯示器、發光薄膜與其製法
JP2019176076A (ja) * 2018-03-29 2019-10-10 豊田合成株式会社 発光装置
KR102391800B1 (ko) 2018-06-15 2022-04-29 주식회사 엘지화학 비정질 박막의 제조방법
TWI783583B (zh) * 2020-07-21 2022-11-11 美商應用材料股份有限公司 用於非晶矽中減少氫併入的離子佈植
CN112269277B (zh) * 2020-10-09 2024-03-22 厦门兴华鼎自动化技术有限公司 一种基于应力硅的电光调制器及其制备方法
CN117546272A (zh) * 2021-06-28 2024-02-09 Jsw阿克迪纳系统有限公司 热处理方法、热处理装置及半导体装置的制造方法
CN114784148B (zh) * 2022-06-15 2022-09-23 浙江晶科能源有限公司 太阳能电池的制备方法及太阳能电池、光伏组件

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
US6326248B1 (en) * 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
JPH097945A (ja) * 1995-06-23 1997-01-10 Sharp Corp 結晶性半導体膜の形成方法
JP3977455B2 (ja) * 1995-11-29 2007-09-19 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000012484A (ja) * 1998-06-25 2000-01-14 Mitsubishi Electric Corp レーザアニール装置
JP3892150B2 (ja) * 1998-07-13 2007-03-14 シャープ株式会社 多結晶薄膜の形成方法及び形成装置
JP2000077333A (ja) * 1998-09-03 2000-03-14 Matsushita Electric Ind Co Ltd 薄膜トランジスタの製造方法およびレーザアニール装置
JP2000182956A (ja) * 1998-12-15 2000-06-30 Sony Corp 半導体薄膜の結晶化方法及びレーザ結晶化装置
JP2000231122A (ja) * 1999-02-12 2000-08-22 Sony Corp 電気光学装置、電気光学装置用の駆動基板、及びこれらの製造方法
JP4588153B2 (ja) * 1999-03-08 2010-11-24 株式会社半導体エネルギー研究所 レーザー照射装置
JP4463377B2 (ja) * 1999-04-30 2010-05-19 株式会社半導体エネルギー研究所 半導体装置およびその作製方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI407562B (zh) * 2006-04-27 2013-09-01 Semiconductor Energy Lab 半導體裝置及使用該半導體裝置之電子器具
CN106024606A (zh) * 2015-03-27 2016-10-12 Ap系统股份有限公司 制造半导体装置的设备以及使用其制造半导体装置的方法
CN108269732A (zh) * 2017-01-03 2018-07-10 联华电子股份有限公司 形成非晶硅多层结构的方法
CN108269732B (zh) * 2017-01-03 2020-08-11 联华电子股份有限公司 形成非晶硅多层结构的方法

Also Published As

Publication number Publication date
US20030148565A1 (en) 2003-08-07
WO2002061816A1 (en) 2002-08-08
JP2002231628A (ja) 2002-08-16

Similar Documents

Publication Publication Date Title
TW552707B (en) Method for forming thin semiconductor film, method for fabricating semiconductor device, system for executing these methods and electro optic device
TW577174B (en) Method and apparatus for forming a thin semiconductor film, method and apparatus for producing a semiconductor device, and electro-optical apparatus
JP4092541B2 (ja) 半導体薄膜の形成方法及び半導体装置の製造方法
TWI313059B (zh)
TW558743B (en) Peeling method and method of manufacturing semiconductor device
US8563438B2 (en) Method for manufacturing semiconductor device
CN100479170C (zh) 电子装置及制造该电子装置的方法
JP3911971B2 (ja) シリコン薄膜、薄膜トランジスタおよびシリコン薄膜の製造方法
JP4024508B2 (ja) 半導体装置の作製方法
TW478076B (en) Semiconductor thin-film substrate, semiconductor device as well as manufacturing method therefor, and electronic device
TW200400640A (en) Semiconductor device and method for fabricating the same
WO2015123913A1 (zh) 制作低温多晶硅薄膜晶体管和阵列基板的方法
JP4511092B2 (ja) 半導体素子の製造方法
JP4644964B2 (ja) 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
KR101402261B1 (ko) 박막 트랜지스터의 제조 방법
JP4599746B2 (ja) 多結晶性半導体薄膜の形成方法及び半導体装置の製造方法
TW200418190A (en) Method for manufacturing a thin film transistor
JP2002299238A (ja) 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
JP2002294451A (ja) 多結晶性半導体薄膜の形成方法、半導体装置の製造方法、並びにこれらの方法の実施に使用する装置
TW201833999A (zh) 可撓式基板結構、可撓式電晶體及其製造方法
JP2002198311A (ja) 多結晶性半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
JP2004327872A (ja) 薄膜トランジスタ、その製造方法および薄膜トランジスタを用いた表示装置、その製造方法
JP4123410B2 (ja) 半導体素子の製造方法
JP2007173839A (ja) 半導体装置の作製方法
JP3680677B2 (ja) 半導体素子製造装置および半導体素子の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees