TW539822B - Source chemical container assembly - Google Patents

Source chemical container assembly Download PDF

Info

Publication number
TW539822B
TW539822B TW091114123A TW91114123A TW539822B TW 539822 B TW539822 B TW 539822B TW 091114123 A TW091114123 A TW 091114123A TW 91114123 A TW91114123 A TW 91114123A TW 539822 B TW539822 B TW 539822B
Authority
TW
Taiwan
Prior art keywords
container
metal
chemical
flanges
patent application
Prior art date
Application number
TW091114123A
Other languages
English (en)
Inventor
Sven Lindors
Jan Von Zweybergk
Marko J Kukkonen
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Application granted granted Critical
Publication of TW539822B publication Critical patent/TW539822B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16LPIPES; JOINTS OR FITTINGS FOR PIPES; SUPPORTS FOR PIPES, CABLES OR PROTECTIVE TUBING; MEANS FOR THERMAL INSULATION IN GENERAL
    • F16L23/00Flanged joints
    • F16L23/04Flanged joints the flanges being connected by members tensioned in the radial plane
    • F16L23/08Flanged joints the flanges being connected by members tensioned in the radial plane connection by tangentially arranged pin and nut
    • F16L23/10Flanged joints the flanges being connected by members tensioned in the radial plane connection by tangentially arranged pin and nut with a pivoting or swinging pin

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Packages (AREA)

Description

539822 五、發明說明(1) 發明領域 本發明是有關於一種化學原料容器組件,用來貯存 化學原料,而化學原料會傳送到反應腔體中,以進行氣相 沈積或是在反應腔體内的相關製程。 技術背景說明 在化學氣相沈積(chemical vapor deposition, C V D )及相關氣相沈積技術中’比如是原子層沈積(a f 0 m i c layer deposition,ALD),會經常使用液體或固體化學原 料。多數的化學物質會和水氣或氧產生劇烈的化學反應。 為了保持這些化學原料的純度及揮發性,因此這些化學原 料所儲存的容器之真空度要到達一個超高真空的狀態 (ultra high vacuum,UHV)。所以必須要製造出一種能夠 承受超高真空狀態的金屬容器(metal container),而此 種金屬容器必須是不能容許破裂的。 然而,金屬容器包含一可密封開口(sealable 〇 P e n i n g ),可以藉由此可密封開口將化學原料放入到金屬 容器内或者將使用完畢的化學原料從金屬容器内排除。為 了達到上述目的,金屬容器具有一可拆卸密封裝置 (removable closure)。而一種高分子〇 型環(polymer 〇-ring)由於它不能承受超高真空狀態的情境,因此並不胃 適用來密封金屬容器之可拆卸密封裝置。另外一個問題是 由於高分子〇型環會與貯存在金屬容器中的化學原料接 觸’而造成高分子0型環被腐餘。再者,因為某些化學原 料在金屬容器中具有較低的蒸汽壓力,因此為了要提高金
539822
如此在南溫的情 屬容器中的$汽壓力,必須要提高溫度 況下會造成咼分子0型環的損壞。 因為上述這些因素,一金屬密封物(^tal seai) 較確實的密封金屬容器與蓋子(lid)及其他管路 (connections)。在這種密封的條件^,可以在兩凸緣 (flange)間配置一金屬密封墊片(metal sealing
gasket),其中凸緣是由一種硬質金屬所製作而成,比如 是不銹鋼,而金屬密封墊片是由延展性佳的金屬所製成 的。因此,在兩凸緣受到壓力的情況下,位在兩凸緣中間 的金屬墊片會受到兩凸緣的擠壓,如此可以提高凸緣間的 密封程度。然而,對金屬密封物來說,最常使用的兩種金 屬是铭和銅,而這兩種金屬會被很多化學原料所腐蝕,於 是可以使用一種較具抗化學腐蝕能力的金屬來作為金屬密 封物’比如是鎳。而鎳的缺點是較链和銅硬,且延展性較 鋁和銅差,因此藉由鎳製成的金屬密封墊片需要較高的壓 縮力量(compressive force)來維持超高真空的狀態。 晴參照第1圖之金屬容器10 (metal container),藉 由多個螺帽1 2 ( n u t s )及多個螺栓1 4 ( b ο 11 s )可以施予壓 力到凸緣1 6、1 8 ( f 1 a n g e )上,其中螺帽1 2及螺栓1 4係均 勻地壞形排列分佈在凸緣1 6、1 8的周圍,而螺栓1 4可以插
入到凸緣1 6、1 8的孔洞中。當使用者要打開或關閉金屬容 器1 0時,需分別將螺栓1 4 與螺帽1 2鬆開或鎖緊。因此當 在氮氣清除手套箱(nitrogen purged glove box)中置換 或倒掉金屬容器1 0中的化學原料以避免化學原料暴露到周
%21pi^Ptd 第6頁 539822 五、發明說明(3) 圍空氣中時,這是相當費時且缺 因此為了避免上述和其他的文率的事 一是提出一種化學原料容器組件點,本發明的目的之 狀態,而此化學原料可以用來作 ^可以承受超高真空的 而金屬容器的設計要簡單及方便$ 2相沈積製程的原$ 行置換或倒掉化學原料的動作。’、乍,且要能夠可靠地進 發明概要 位達到上述及其他目的,本 一種容器密封裝置,其具有至少一 '的較佳實施例提供 構件,壓力構件具有多個連接構 *形狀的凸緣及一壓力 擠壓構件係可以將二凸緣互相壓合^ f個擠壓構件。藉由 根據本發明之一實施例中提 起。 置,密封裝置是位在金屬容器之底的可拆卸之密封裝 器的上面空間連接用以流出氣相化風爲因此I以使金屬容 以流入惰性氣體之管路。將可拆卸 ^料之官路及連接用 容器之底部可以避免在空間配置上的J J裝置放置在金屬 另外根據另一實施例來說,外部犬。 ;腔=真^體具有一内部容器,可以充7有化一學原 枓壯透過開口此内部容器可以從外部容器内移出,亦可 j裝入到外部容器中。一化學原料容器組件包含一内部容 器’係揭露於申請曰在2 0〇0年5月15曰的完成申請案 CPinish Application) FI 20001166號中,其係對應於公 開曰在2001年11月22日的美國專利公開第2001/0042523 號。另外,充填化學原料於金屬容器中的方法包括,將壓
pi i'.ptd 第7頁 539822 五、發明說明(4) 力構件鬆開、從凸緣上移開壓力構件、打開密封裝置並將 已使用過的金屬密封墊片拆下、拆卸已經使用過的内部容 器而將尚未使用過的内部容器裝上、將新的金屬密封墊片 置放到壓力構件中以使密封裝置呈現密封的狀態。此種操 作方法可以簡單地在手套箱内運作。 金屬密封結構包含有壓力構件,而壓力構件具有擠 壓構件用以將凸緣壓合在一起。上述金屬密封結構之專利 案可以參考在1996年3月19曰公告的美國專利案第 5, 499, 849號和在1998年1月13日公告的美國專利案第 5, 707, 089號,而其所揭露的全部内容在此處當成參考文 件。此種機構可以從Switzerland Evac International, I n c.購得,這種機構係可以用來壓合管路的凸緣,並且在 凸緣之間還配置有一金屬密封墊片。在此處所提出的較佳 實施例,由Fend等人所描述類似一種密封裝置,用來連接 二管路的密封裝置可以用來密封貯存有化學原料之金屬容 器,而化學原料可以用在氣相沉積系統中。 銅與鋁由於它們有較佳得延展性,它們可以具有良 好的密封特性,因此銅和鋁是最被常用來當作金屬密封墊 片的材料。而就本發明之化學原料容器組件而言,可以 依照化學原料儲藏的情況來使用合適的金屬密封墊片,較 常用是鎳墊片、鎳合金墊片、鍍鎳墊片、鍍貴金屬的墊片 (例如是鍍金)或退火轉換金屬墊片(例如是鈦)。經過退火 步驟的塾片之材料會在金屬内形成差排(dislocations), 因此經過退火步驟的金屬會比在低溫機械加工處理過的金
9621p1f.ptd 第8頁 539822 五、發明說明(5) - 屬柔軟。不過,相較於由銅及鋁所製成的墊片,藉由上述 . 金屬所製成的墊片需要施以較大的壓力,來維持密封結構 的密封程度,因此需要使用較堅固的壓力構件。 圖號標示說明 · 1 0 :金屬容器 1 2 :螺帽 ‘ 14 :螺栓 1 6 :凸緣 1 8 :凸緣 2 0 :化學原料容器 2 2 :外部容器 丨p 24 :内部容器 2 5 :化學原料組件 3 0 :外部容器之上部 32 :側壁 3 4 :上壁 3 6 :凸緣 4 0 :外部容器之底部 42 :側壁 44 :底壁 46 :凸緣 48 :凹部 > 5 0 :金屬密封墊片 5 2 :擠壓構件
9621 pif.ptd 第9頁 539822 五、發明說明(6) 54 連 接 構 件 56 槌 紐 58 壓 力 螺 栓 60 氣 體 m 入 構 件 61 輸 入 閥 62 m 入 導 管 70 氣 體 排 出 構 件 71 排 出 閥 72 排 出 導 管 74 導 管 76 導 管 78 閥 門 80 内 部 容 器 81 蓋 子 82 多 孔 蓋 子 54 突 出 部 份 56 推 進 環 58 開 孔 59 扣 鎖 球 P ·· 幫浦 本發明 詳 細 說 明 請參照第1圖,其中第1圖繪示習知化學原料組件1 0 · 之立體示意圖。一金屬密封物(未繪示)位於二凸緣16, 18 之間,藉由多個螺栓1 4個別地穿過多個螺帽1 2,如此可以
9621 p 1 1、· ptd 第10頁 539822 五、發明說明(7) 在整個密封表面上施加甚大的壓力。 請參照第2圖及第3圖,其中第2圖及第3圖進一步繪示 習知化學原料容器與氣相沉積設備連接的平面示意圖。一 化學原料容器20 (source chemical container)係包含一 外部容器22 (outer container)和一内部容器24 ( inner container),而第3圖還繪示内容器24的剖視示意圖,而 化學原料可以置換到内容器2 4中,或從内容器2 4中倒出。 而此種設計系統係揭露在申請日期為2 0 0 0年5月1 5日的完 成申請案第F I 2 0 0 0 1 1 6 6號中,係對應於2 0 0 1年1 1月2 2曰 所公開的美國專利公開第2 0 0 1 / 0 0 42 5 2 3號,在之後的文章 中係稱此文件為K e s a 1 a文件,而上述文件所揭露的技術内 容係包含為本發明的參考文件。 請參照第4圖’其繪不出一化學原料組件2 5 ( s 〇 u r c e chemical assembly)之一較佳實施例及外部容器之上部3〇 (upper part),而外部容器之上部30係具有旋轉對稱之一 側壁32 (sidewall)及一上壁34 (top wall),側壁32在較 低位置之壁上提供一凸緣36(flange)。而在第4圖中並沒 有全部分別指出每一個組件的名稱,熟悉該項技藝者應知 化學原料容器組件還可以具有加熱器,其係敘述在之前所 提到的文件(Kesala)中,藉由加熱器可以使固體或液體的 先驅物(P r e c u r s 〇 r )加熱成為汽態。 外部容器之底部4 0 ( b 〇 11 〇 m p a r t )係包含旋轉對稱之一側 壁42 (sidewall)及一底壁44 (bottom wall)。側壁42 在 較高位置之壁上提供一凸緣46 ( f 1 ange)。對外部容器來
9621pi Γ.ptd 第11頁 539822 發明說明(8) 兒底W40係用來作為是一種密封裝置,如下 斤it 再者底壁44具有一凹部48(recess),而凹部48的 功用在下段文章中會有詳細的描述。 、 金屬⑨封墊片50 (metal sealing gasket)係位於 ^緣36與凸緣4 6之間,其放大示意圖係如第4圖的左側部、 份所示。金屬密封墊片5〇所構成的金屬比如是鎳、鎳合 金、鏟、錄金屬、鑛貴金屬的金屬(其中貴金屬比如是金或 ,)或退火轉換金屬(annealed transiti〇n metai)(比如 是欽),其,會較銅或鋁的抗化學物質腐蝕能力佳。 依严、第4圖及第5圖所示,擠壓構件52 (thrust piece)係分佈於凸緣3 6, 46之周圍,並且藉由擠壓構件 可以將凸緣3 6, 4 6相壓合在一起,而擠壓構件52係可以與 一連接f件5 4 ( 1 i n p丨e c e )相接合。每一擠壓構件5 2係 具有一樞紐56 (two hinge),藉由樞紐56係可將擠壓構株 52環狀排列地與連接構件54接合在一起。而在1 9 9 8年1月 13日公告的美國專利案5, 7〇7, 〇89號中揭露的技術,其係 合併到本案作為參考文件,其係揭露藉由把柄(lug)和孔 洞的配合可以將連接構件環狀排列地與擠壓構件連接,而 把柄係為在其中之一的構件上,孔洞則位在另一構件上。 在5, 7 0 7, 0 8 9號的專利案中還揭露連接構件係位在擠壓構 件之一側’而連接構件可以藉由樞紐或把 固定在一起。另外,還可以藉由壓力螺目 bolt)、連接孔洞(b〇re h〇le)及内螺孔(internaiiy threaded bore)來連接擠壓構件52與連接構件54,以取代
539822 五 發明說明(9) 才區紐5 6的功能,其中連接:^丨 w ,、,f _ A #破, # ^ Ϊ 可以貫穿擠壓構件52的一側, 而内螺孔可以貫穿其中之一的逵揾燋Α ς 1θ 〇 w 件52。力μ、十,μ眘#办丨由 妾構件54或疋另一擠壓構 件52在上述的貫施例中,僅繪示出二壓力螺栓58。 处人Λ壓Λ件5ΙΛ接^件54、樞紐56及壓力螺栓58的 、、口 ΰ係疋義為一壓力構件(tensi〇n chain)。在操 可以將壓力螺栓5 8放鬆以拆卸壓力構件;而亦可以將壓力 螺栓5 8分別再拴回到連接孔洞中,以結合並鎖緊壓力構 件。如第4圖所示,擠壓構件52包含一傾斜内表面,藉由 傾斜内表面可以壓緊凸緣3 6, 4 6之傾斜外表面,以鎖緊9壓 力構件。在第4圖顯示出凸緣36, 46是錐形狀(coni cal')的 構造,其係類似公告於1 9 9 6年3月1 9日的美國專利案第 5,4 9 9,8 4 9號中所揭露出的凸緣,此案的揭露内容係合併 到本案作為參考文件。另外’本發明的凸緣3 6、4 6亦可以 是類似如在美國專利案第5, 7 0 7, 0 89號專利案中所揭露的 凸緣,其係為平面的樣式。 如第4圖所示,金屬容器提供一氣體輸入構件60 (gas infeed)及一氣體排出構件70(gas outlet),其中氣體輸 入構件6 0 可以輸入比如是氮氣和氬氣等惰性氣體到金屬 容器内,而氣體排出構件7 0可以排出混雜化學原料的惰性 氣體。氣體輸入構件60 可以和一輸入導管62 (inlet conduit)相連接,而輸入導管62可以連接到惰性氣體的供 應源。一輸入閥61(inlet valve)係配置在輸入導管62的 f 延線上。氣體排出構件7 0會與一排出導管7 2 ( ou 11 e t conduit)連接,而排出導管72可以與進行化學氣相沈積、
9621pi 1' .ptd 第13頁 539822 五、發明說明(ίο) 原子層:尤積或其他』目關製程的反應腔體連接 在排出導管72的延線上。另外, 运可以為了進灯洗净的步驟,而配置導管74、 76(conduit)和一閥門78(valve)。 並 化學原料可:貯存在—内部容㈣ container)中,而内部容器8
且内部容器80可以藉由一客:、獒供盍子81 (lid),I cover)與金屬容器内之翁,*盍子82 (porous lid 置在内部容器8 0上,嗒麸工間相連通,而蓋子8 1可以配 80可以是由玻璃製造$ = ’曰、可以是反過來配置。内部容器 之底部40的底壁44上之 料的任何其他適合材料由能夠接觸液體或固體化學原 ---—-^ ^ . 斤製造。如上所述,位在外部容器 凹部4 8可以容納内部容器8 0 (inner container)的麻却 /从谷碉π邯谷态δυ 容器80的周圍。從第5圖:、息並且凹部48可以環繞於内部 器之上部30在垂直方向\ 、的局部放大圖來看,外部容 部容器之上部30包含多^ f有一類似錐形狀的側壁32,外 此可以方便將内部容器8n ^部凹槽84(inner groove),藉 換。如果想了解更多^ M ^外部容器3 0、4 0上移除或置 例,請參考美國專利Ϊ部容器8〇和其他可能的實施 露内容係合併到本案^ ,第2 0 0 1 / 0 0 4 2 523號,此案的揭 另外在操作方面/、、、考文件。 料排出後,化學原料細生ζ 化學原料組件2 5内之化學原 可以將輸入閥6 1 ”、排+ 5可以再填充化學原料。此時, 料組件25放置到一手=f 71及閥門78關閉,而將化學原 相(glove box)中,而貯存有固態
9621 pif.ptd 539822 五、發明說明(11) 或液態化學原料之另一新的内部容器8 0亦可以置放到手套 箱中,其中手套箱中係填充滿惰性氣體,並且是密封狀態 的及洗滌過的。而藉由鬆開壓力螺栓5 8使得壓力構件會從 外部容器3 0、4 0的凸緣3 6,4 6處鬆開,如此外部容器之底 部4 0會與外部容器之上部3 0分離,此時已將化學原料耗盡 之舊的内部容器8 0可以置換成新的内部容器8 0。而可以置 換一新的金屬密封墊片到凸緣3 6,46之間,並且再將外部 容器的上部3 0裝配到外部容器的底部4 0上,如此壓力構件 可以再鎖緊,壓力螺栓58又重新鎖上,而化學原料組件2 5 可以預備下一次製程操作上使用。 雖然本發明已以一較佳實施例揭露如上,然其並非 用以限定本發明,任何熟習此技藝者,在不脫離本發明之 精神和範圍内,當可作各種之更動與潤飾,因此本發明之 保護範圍當視後附之申請專利範圍所界定者為準。
%21 pi 1’ · ptd 第15頁 539822 圖式簡單說明 第1圖繪示習知化學原料容器組件之立體示意圖。 第2圖繪示化學原料容器組件之正視示意圖,而第2 圖所繪的化學原料容器組件係類似於第1 圖所繪的化學原 料容器組件,而化學原料容器組件包括傳統的密封裝置及 一内部容器(未繪示),可以快速地充填及排出化學原料。 第3圖繪示第2圖中化學原料容器組件之部分橫切面 的不意圖。 第4圖繪示依照本發明一較佳實施例之化學原料容器 組件之剖面示意圖。 第5圖繪示第4圖中剖面線5 - 5之剖面示意圖,其係為 壓力構件的上視圖,而内部容器係為在外部容器之内。
9621pif.ptd 第16頁

Claims (1)

  1. 539822 六、申請專利範圍 1. 一種化學原料容器組件,適用於將化學原料傳送 到一反應腔體中以進行化學氣相沉積製程,其至少包括: 一金屬容器,具有複數個腔壁,以定義出一真空腔 體,而該金屬容器包括一第一部分及一第二部分; ’ 該第一部分係定義出一開口 ,該開口係位於該金屬 容器的該些腔壁上,透過該開口可以將新的原料傳輸到該 金屬容器中,亦可以將用過的原料從該金屬容器中移除; 該第一部分及該第二部分均具有一凸緣,而一金屬 密封墊片係位於該些凸緣之間,當該些凸緣互相對壓到該 金屬密封墊片上時,可以形成一金屬密封結構,該些凸緣 之至少一個係具有一錐形表面;以及 丨· 一壓力構件,係具有複數個連接構件,而該些連接 構件係具有複數個擠壓構件,當該些擠壓構件卡合並施壓 於於該些凸緣上時,則該壓力構件係為密封的狀態。 2 .如申請專利範圍第1項所述之化學原料容器組件, 其中該金屬密封結構及該壓力構件係位在該金屬容器的周 圍。 3 ·如申請專利範圍第1項所述之化學原料容器組件, 其中該金屬容器係具有一上壁、一底壁及一側壁,該側壁 係以一旋轉對稱軸為中心呈現出大致旋轉對稱的樣式,一 截面係垂直於該旋轉對稱軸,而該截面係將該側壁分成該 第一部份及該第二部份,藉由該金屬密封結構係可以使該 第一零件部份及該第二零件部份互相密封再一起。 4.如申請專利範圍第1項所述之化學原料容器組件,
    9621 piΓ.ptd 第17頁 539822 將 以 可 D 開 該 過 透 器 容。 部内 内器 一容 之屬 料金 原該 學到 化裝 存安 宁 § ® 貝 — 懷可容 專括部 青 ;:包内 」、、還該 六 第 圍 範 利 專 請 中 如 5 凹 1 有 具 份 部一 第 該 中 其 ,容 件屬 組金 器該 容載 料承 原以 學可 化係 之口 述凹 所該 項, C D β— 立口 底 1 之 器 件 組 器 容 料 原 學 化 之 述 所 項 11 第 圍 範 利 專 請 中 如 6 體之 氣器 一容 且屬 並金 ,該 端近 底接 之在 器成 容形 屬均 金, 該件 近構 接出 在排 成體 形氣 是一 口及 開件 該構 中入 其輸 端 上 件 組 器 容 料 原 學 化 之 述 所 項 11 第 圍 範 利 專 請 中 如 鎳之 鍍中 、群 金族 合.的 鎳成 、組 錄所 由 於金 自換 選轉 係火 質退 材及 之 片金 塾的 封屬 密金 屬貴 金鑛 該、 中屬 其金 質 材 種一 於 用 適 法 方 的 中 器 容 屬 金 於 料 原 學 化 填 充 種一 8 相: 氣含 為包 作係 以法 可方 料的 原中 學器 化容 而屬 ,金 器於 容料 屬原 金學 一化 之填 下充 態該 狀, 空用 真之 度積 高沉 到 器 容 屬 金 該 置 放 内 箱 套 手 内 箱 ·, 手内 該箱 到套 料手 原該 學到 匕豐 的氣 換性 置惰 要一 置入 放通 個 數 複 從 件 構 力 Μ 該 將 且 並 件 構 力 Μ一 鬆 放 由 藉 之金 器 一 容成 屬構 金係 該片 開墊 打封 以密 開金 移一 上及 壁緣 腔凸 之些 器該 容中 屬其 金, 該置 及裝 上封 緣密 凸一 間 之 置 裝 封 密 該 於 介 係 構 結 封 密 屬 金 該 而 構 結 封 密 屬 料 原 學 化 的 過 用 使 除 移 中 器 容 金 該 從
    9621 pif.ptd 第18頁 539822 六、申請專利範圍 放置該要置換的化學原料到該金屬容器内;以及 藉由鎖緊該壓力構件,使該密封裝置呈現關閉的狀 態。 9 .如申請專利範圍第8項所述之充填化學原料於金屬 容器中的方法,其中從該金屬容器中移除使用過的化學原 料之步驟包含從該金屬容器内移除一内部容器的步驟。 I 0 .如申請專利範圍第9項所述之充填化學原料於金屬 容器中的方法,其中在進行放置要置換的化學原料到該手 套箱内的步驟時,係將貯存有要置換的化學原料之該内部 容器放置於該手套箱内。 II .如申請專利範圍第1 0項所述之充填化學原料於金 屬容器中的方法,其中在進行放置該要置換的化學原料到 該金屬容器内的步驟時,係將貯存有要置換的化學原料之 該内部容器放置於該金屬容器内。 1 2.如申請專利範圍第8項所述之充填化學原料於金屬 容器中的方法,還包含取出位於該金屬容器之該些凸緣之 間已使用過的一金屬密封墊片,並且在密封該密封裝置 前,還要置入新的該金屬密封墊片。 1 3. —種容器組件,適用於承載液體或固體化學原 料,以進行氣相製程,係包含: 一外部容器,係具有一上部及一底部,該上部及該底 部分別具有複數個凸緣,而藉由一金屬密封墊片配置在該 些凸緣之間,可以密封該些凸緣,其中該些凸緣之至少一 個具有一傾斜外表面;
    9621pif.ptd 第19頁 539822 六、申請專利範圍 一内部容器,係配置於該外部容器内,該内部容器係 可以裝載固體或液體之化學原料;以及 一壓力構件,係藉由複數個擠壓構件施壓到具有該傾 斜外表面之該些凸緣上之後,可以將該些擠壓構件之橫向 壓合力量轉換成長度方向之密封力量。 1 4.如申請專利範圍第1 3項所述之容器組件,還包括 一熱源,該熱源係使固體或液體化學原料氣化。 1 5 .如申請專利範圍第1 3項所述之容器組件,其中該 金屬密封墊片之性質係較鋁或銅硬,並且延展性係較鋁或 銅差。
    9621pi l'.ptd 第20頁
TW091114123A 2001-07-03 2002-06-27 Source chemical container assembly TW539822B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US30277401P 2001-07-03 2001-07-03

Publications (1)

Publication Number Publication Date
TW539822B true TW539822B (en) 2003-07-01

Family

ID=23169144

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091114123A TW539822B (en) 2001-07-03 2002-06-27 Source chemical container assembly

Country Status (7)

Country Link
US (1) US6889864B2 (zh)
EP (1) EP1404890B1 (zh)
JP (1) JP2004534150A (zh)
KR (1) KR20040054624A (zh)
DE (1) DE60203912T2 (zh)
TW (1) TW539822B (zh)
WO (1) WO2003004723A1 (zh)

Families Citing this family (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US20050056216A1 (en) * 2003-09-15 2005-03-17 Intel Corporation Precursor delivery system
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8118939B2 (en) * 2005-03-17 2012-02-21 Noah Precision, Llc Temperature control unit for bubblers
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN101522943B (zh) 2006-10-10 2013-04-24 Asm美国公司 前体输送系统
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101069171B1 (ko) * 2009-01-16 2011-09-30 (주)지오엘리먼트 분말소스 이송장치, 정량방법, 및 이에 사용되는 계량 용기파트
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
CN104487608A (zh) 2012-05-31 2015-04-01 高级技术材料公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
PL2762400T3 (pl) * 2013-01-30 2019-08-30 Nova Patent B.V. System holowniczy do holownika
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
FR3010761A1 (fr) * 2013-09-13 2015-03-20 Areva Np Dispositif de serrage et procede de mise en securite d'un dispositif d'alimentation en eau alimentaire d'un generateur de vapeur susceptible d'utiliser un tel dispositif
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106439313B (zh) * 2016-08-01 2019-01-22 杨顺立 多瓣斜平面卡箍及其管连接件
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP6425850B1 (ja) * 2017-11-22 2018-11-21 日本エア・リキード株式会社 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
JP6462096B1 (ja) * 2017-11-22 2019-01-30 日本エア・リキード株式会社 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP2022532794A (ja) * 2019-05-22 2022-07-19 ラム リサーチ コーポレーション パージを伴う腐食性ガス用の蒸気アキュムレータ
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10844483B1 (en) * 2019-12-16 2020-11-24 Quantum Elements Development, Inc. Quantum printing methods
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113154156A (zh) * 2021-05-21 2021-07-23 中国科学技术大学 一种小通径系列超高真空链式卡箍法兰组件
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115143328A (zh) * 2022-08-31 2022-10-04 兰州大学 一种可快速拆卸的法兰结构

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2640717A (en) 1949-07-26 1953-06-02 Emerson Scheuring Tank And Mfg Separable pressure vessel quick clamp
US3642166A (en) * 1970-03-04 1972-02-15 Container Corp Container and lid construction
US4288001A (en) * 1980-07-15 1981-09-08 Gulf & Western Manufacturing Company Closure arrangement for pressure device
US4732294A (en) * 1987-03-12 1988-03-22 Parker Hannifin Corporation Safety latch means for filter assembly
JPH0826952B2 (ja) * 1991-07-09 1996-03-21 エドガー チャン 管フランジ継手用のチェーン
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
DE59203302D1 (de) * 1992-05-21 1995-09-21 Heinrich Fend Vorrichtung zum Gegeneinanderpressen von zwei konischen Flanschen einer Rohrverbindung.
DE19627841A1 (de) 1995-08-14 1997-02-20 Heinrich Fend Vorrichtung zum Gegeneinanderpressen von zwei Flanschen einer Rohrverbindung
US6268288B1 (en) * 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
JP2001049434A (ja) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon

Also Published As

Publication number Publication date
DE60203912T2 (de) 2006-02-16
JP2004534150A (ja) 2004-11-11
KR20040054624A (ko) 2004-06-25
EP1404890B1 (en) 2005-04-27
EP1404890A1 (en) 2004-04-07
WO2003004723A1 (en) 2003-01-16
US6889864B2 (en) 2005-05-10
US20030075925A1 (en) 2003-04-24
DE60203912D1 (de) 2005-06-02

Similar Documents

Publication Publication Date Title
TW539822B (en) Source chemical container assembly
JP2004534150A5 (zh)
CH643766A5 (fr) Procede de garnissage d'un corps metallique et corps metallique obtenu par ce procede.
KR19980063270A (ko) 저압 cvd 시스템
JP2001500954A (ja) 超高真空システムに使用するコネクタシステム
CN108070709A (zh) 通过混合热等静压(hip)过程来修复涡轮机的热部件上的缺陷的方法
EP0621098B1 (fr) Dispositif de coulée comportant une liaison sans ciment d'un conteneur métallurgique à un obturateur à tiroir et procédé de mise en oeuvre de ce dispositif
JPH04239494A (ja) 流体排出弁を備えた容器
EP0038791A1 (fr) Procédé pour souder un objet en aluminium à un objet en acier inoxydable
JP2003504884A (ja) 溶着反応装置におけるシール手段およびその適用
JP2002118098A5 (zh)
JP2004019879A (ja) シールユニット及びシール材ならびにその利用
JP2009505011A (ja) 流体フローデバイス
JP2003194225A (ja) 金属ガスケット
FR2835817A1 (fr) Recipient de securite, en particulier pour substances organometalliques
FR2545106A1 (fr) Appareil pour preparer des metaux de grande durete a point de fusion eleve
JP3683572B2 (ja) Cvd用反応容器
EP1133372B1 (fr) Cuve de traitement de metal liquide basculante et son dispositif de liaison etanche avec une goulotte fixe
BE568803A (zh)
JPH01297022A (ja) 金属製真空二重構造体及びその製造方法
FR2552268A1 (fr) Recipient resistant a la pression pour des elements hybrides electrochimiques alcalins contenant un produit gazeux entrant en reaction, notamment pour des elements metal-hydrogene
JPH0614948Y2 (ja) 温間静水圧加圧用試料容器
FR2681840A1 (fr) Reservoir pour recevoir un fluide sous haute tension et procede pour sa realisation.
TW201002966A (en) Opening and closing valve
FR2839133A1 (fr) Diaphragme a utiliser avec une garniture d'etancheite, joint d'etancheite l'utilisant et procede pour la formation de ce joint d'etancheite

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees